新四季網

一種基底製造裝置及其製造方法

2023-10-28 02:45:12


專利名稱::一種基底製造裝置及其製造方法
技術領域:
:本發明是有關於一種監測和控制基底的製造方法。
背景技術:
:先進的電子電路技術所需要的基底圖案的尺寸愈來愈細、小,例如較窄的內聯機和較高的高寬比的介層窗,典型的基底是一半導體或是介電層,其在進行製造之後可在基底上形成多個圖案,而圖案的材質例如是介電材料、半導體材料或是導體材料。圖案的尺寸較小,可在較小的面積上容納較多的圖案並且可在較高的頻率下操作。例如,含金屬的內聯機的尺寸通常約小於0.18nm,且有時候甚至小於0.15nm。然而,要製造如此小的圖案而其尺寸和形狀在整個基底上又具有一致性,特別是圖案尺寸愈來愈小的時候,其製造愈來愈困難。在這種製造中,基底表面的製造變量中無法預測的變化可能來自於基底表面的不同區域上的圖案具有不同的尺寸。由於圖案的電性或其它的特性隨著基底位置而改變,這將難以適當地設計電路或是顯示器。製造微細圖案的問題是微細圖案的容忍度範圍遠小於普通的圖案,其製造相當困難。基底上的圖案的尺寸或形狀的變異量,原來是普通較大尺寸的圖案可以接受的,然而,在製造微細圖案時卻無法再被接受。當圖案的關鍵尺寸隨著所在的基底表面的位置而有所不同時,圖案的形狀的改變是一個特殊問題。關鍵尺寸指對於圖案的電性有重大影響的尺寸。例如,內聯機的線寬即是一種關鍵尺寸,因為如果部分的內聯機被過度蝕刻,線寬特別窄的部分會有較高的阻值。甚至,內聯機圖案其在尺寸上或是側壁的傾斜角度上有微小的改變,亦可能造成電性超過可容忍的範圍。因此,相較於普通的電路,具有微細尺寸的圖案的電路將因為無法符合尺寸的可容忍範圍而報廢無法使用。因此,目前需要可以在基底上形成微小尺寸的圖案,且圖案的形狀和尺寸一致的製造方法和製造裝置。而且,亦需要一種能確保所形成的圖案具有均勻的關鍵尺寸而與圖案所在的基底表面的位置無關的製造方法或裝置。另外,亦需要一種可以蝕刻超細圖案且製造產量和產率皆佳的製造方法和裝置。
發明內容本發明的目的是提供一種裝置和方法,以克服當前技術無法確保在基底上形成的微小圖案具有均勻的關鍵尺寸的缺陷。本發明提出一種基底處理裝置,其包括一製造腔室,此製造腔室包括一個基底支座、一個氣體配管以及一個氣體增能器。其中基底支座是用來承載基底,而基底具有一個第一區域和一個第二區域。一個氣體配管是用來將一氣體導入該製造腔室中。氣體增能器是用來使該氣體增能,以在該基底上形成多個圖案。氣體排出口,是用來排出該氣體。此裝置亦具有一個製造監測器,用以監測該基底的該第一區域上所形成的具有間隔開且分離開的多個圖案的圖形的尺寸,並產生一個第一信號,並且監測該基底的該第二區域上所形成的具有間隔開且分離開的多個圖案的圖形的尺寸,並產生一個第二信號。此裝置還包括一個腔室控制裝置中具有程序化編碼,所述具有程序化編碼的腔室控制裝置是依據該第一信號和該第二信號,以由該製程製造控制裝置的一內存中所儲存的一查詢表的多個製造處方中選擇一製程製造處方,用以接收該第一信號與該第二信號,並操作該基底支座、該氣體配管、該氣體增能器或該氣體排出口,以設定多個製造參數,其中多個製造參數包括一個或多個氣體流率、氣體壓力、氣體增能電源的水平以及基底溫度,以對多個第一區域與第二區域的圖案進行製造,補償形成在多個第一和第二區域上的圖案其尺寸上的差異。本發明亦提出一種基底的製造方法,此方法將一個基底置於一製造腔室的一製造區(processzone)中,該基底具有一個第一區域和一個第二區域,並在製造區中通入一製造氣體,然後使製造氣體增能,以在基底上形成具有間隔開且分離開的多個圖案(feature)的圖形(pattern),之後再排出製造氣體。接著,監測基底的第一區域上所形成的具有間隔開且分離開的多個圖案的圖形的尺寸,並產生一個第一信號,並且監測該基底的該第二區域上所形成的具有間隔開且分離開的多個圖案的圖形的尺寸,並產生一個第二信號。然後,評估第一信號和第二信號,並設定製造區的多個製造參數,以處理第一區域和第二區域的圖案,補償圖案的尺寸的差異性,其中製造參數包括一個或多個氣體流率、氣體壓力、氣體增能電源的水平以及基底溫度。本發明再提出一種蝕刻基底的裝置,此裝置具有一蝕刻腔室,此蝕刻腔室具有一個基底支座、一個氣體配管、一個氣體增能器與一個氣體排出口。其中基底支座是用來承載一基底,基底具有一中心區域和一周邊區域,且中心區域暴露於蝕刻腔室的一個第一製造區塊中;而周邊區域暴露於該蝕刻腔室的一個第二製造區塊中。氣體配管是用來將一氣體導入製造腔室中。氣體增能器是用來使氣體增能,以蝕刻基底上的多個圖案;而氣體排出口則是用以排出該氣體。此基底蝕刻裝置亦具有一個第一光監測裝置與一個第二光監測裝置,其中第一光監測裝置是用來監測該基底的該中心區域上所形成的多個被蝕刻的圖案,並產生一個與所測量的該圖案的尺寸成比例的第一信號;而第二光監測裝置是用來監測基底的周邊區域上所形成的多個被蝕刻的圖案,並產生一個與所測量的圖案的尺寸成比例的第二信號。此基底蝕刻裝置更具有一個腔室控制裝置,包括一內存,該內存有一查詢表,該查詢表包括多數個製造製程處方,用以接收並評估該第一信號與該第二信號,並操作蝕刻腔室,以將第一製造區塊上的一製造參數設定在一可控制的第一水平,該第一水平依照該第一信號來選擇,並將第二製造區塊上的製造參數設定在一可控制的第二水平,該第二水平依照該第二信號來選擇,藉以分別監測和控制基底的中心區域和周邊區域上那些被蝕刻的圖案的尺寸。本發明另提出一種蝕刻基底的方法,此方法包括將一基底置於一製造腔室的一製造區中,此基底具有一中心區域和一周邊區域,其中中心區域暴露於製造腔室的一第一製造區塊中,該周邊區域暴露於製造腔室的一第二製造區塊中,基著在製造區中通入一蝕刻氣體,並且使蝕刻氣體增能,以蝕刻基底上的多個圖案,之後,再排出蝕刻氣體。然後,監測基底的中心區域上所形成的多個被蝕刻的圖案的反射光,並產生一個與該圖案的關鍵尺寸成比例的第一信號,並再監測基底的周邊區域上所形成的多個被蝕刻的圖案,並產生一個與該圖案的關鍵尺寸成比例的第二信號。之後,評估第一信號該第二信號,並操作蝕刻腔室,以將該第一製造區塊上的一製造參數設定在一可控制的第一水平,該第一水平依照該第一信號來選擇,並將第二製造區塊上的製造參數設定在一可控制的第二水平,該第二水平系依照該第二信號來選擇,藉以分別監測和控制基底的中心區域和周邊區域上的那些被蝕刻的圖案的尺寸。本發明還提出一種蝕刻基底的裝置,此裝置具有一個腔室,其包括一個基底支座、一個氣體配管、一個氣體增能器以及一個氣體排出口。其中基底支座是用來承載一基底,此基底具有一個第一區域和一個第二區域。氣體配管是用來將一蝕刻氣體導入腔室中。氣體增能器,是用來使蝕刻氣體增能,以蝕刻基底上的多個圖案。氣體排出口則是用以排出蝕刻氣體。此蝕刻基底的裝置亦包括一個第一光監測裝置與一個第二光監測裝置,其中第一光監測裝置是用來監測基底的該中心區域上所形成的多個被蝕刻的圖案,並產生一個與所測量的該圖案的尺寸成比例的第一信號;第二光監測裝置是用來監測基底的周邊區域上所形成的多個被蝕刻的圖案,並產生一個與所測量的該圖案的尺寸成比例的第二信號。此外,此蝕刻基底的裝置更包括一腔室控制裝置,用以評估第一信號與第二信號,並依據第一信號和第二信號選擇一蝕刻製造處方,並依據此蝕刻製造處方操作腔室,藉以分別監測和控制該第一區域和該第二區域上的被蝕刻的圖案。本發明還提出一種蝕刻基底的方法,此方法將一基底置於一腔室的一製造區中,此基底具有一個第一區域與一個第二區域。接著,在製造區中通入一蝕刻氣體,然後使蝕刻氣體增能,以蝕刻基底上的多個圖案,之後,再排出蝕刻氣體。其後,監測基底的第一區域的多個圖案,並產生一個第一信號,並監測基底的第二區域上的多個圖案,並產生一個第二信號。之後,評估第一信號與第二信號,並依據第一信號和第二信號來選擇一蝕刻製造處方,然後,再依據蝕刻製造處方來設定腔室的多個製造參數,藉以分別監測和控制第一區域和第二區域上的那些圖案的蝕刻。利用本發明的裝置及方法,可以在基底上形成微小尺寸的圖案,且圖案的形狀和尺寸相一致。為讓本發明的上述和其它目的、特徵和優點能更明顯易懂,下文特舉較佳實施例,並配合附圖,作詳細說明如下。圖IA是基底製造裝置的頂視圖,表示一個具有加載互鎖真空室的基座、製造腔室和測量室。圖IB是具有監測裝置和製造控制裝置的製造腔室的側視圖。圖IC是具有一氣體增能器的製造腔室的側視圖,其包括一天線且在頂面具有一局部的中心製造監測器。圖ID是具有一將進行製造的基底的製造腔室的部分側視圖,其中基底的不同區域上具有相似圖案。圖IE是圖IC所示的氣體配管的下視圖,其顯示同心的中心氣體出口和周邊氣體出口。圖IF是圖IB的製造腔室的磁場產生器的示意圖。圖IG是適用於圖IB的另一實施例的磁場產生器的示意圖。圖IH是具有兩個熱傳送氣體壓力區的基底支座的示意圖。圖II是圖IH的基底支座的上視圖。圖2A是一種用以操作圖1A-1D的製造腔室的腔室控制裝置的示意圖。圖2B是圖2A的腔室控制裝置的計算機可讀取程序的階層式(hierarchical)控制結構的方塊圖。圖3是調整製造氣體流僅通過中心氣體出口、周邊氣體出口或同時通過中心和周邊的氣體出口,以控制基底的不同區域上的圖案的蝕刻率的圖形。圖4是蝕刻氣體僅提供在周邊氣體出口或在中心氣體出口或是同時提供在不同開口大小的氣流閥的中心氣體出口和周邊氣體出口,其相對應的蝕刻均勻度的圖形。圖5是調整中心和周邊的氣體出口的開口大小(0表示僅有中心氣體出口打開,而1表示周邊氣體出口全開),以控制基底上的蝕刻圖案的傾斜角改變的圖形。圖6是各種通過周邊氣體出口和中心氣體出口的蝕刻氣體的流率,其對孤立圖案和密集圖案的傾斜角均勻度的關係圖。圖7是以不同蝕刻氣體組成進行蝕刻,其基底的中心至圓周周緣的圖案的傾斜角。圖8A-8C是圖IG的磁場產生裝置所產生的磁場強度的圖形,其磁場強度為基底的半徑的函數。圖9是基底的中心區域和周邊區域的蝕刻率和各種磁場強度的關係圖。圖10是在天線上施加不同水平的電源時,基底的中心區域到周邊區域的圖案的蝕刻率的圖形。圖11是一種用以測量基底上進行製造的圖案的尺寸的測量工具的示意圖。符號說明85:圖案100:裝置102基底104a、104b、104c腔室的編號103a、103b加載互鎖真空室(loadlockchamber)IO5測量室106頂面108側壁110:底面112:製造區113a、113b:窗口114支座115:氣體入口116:承載表面117:氣體出口118:介電質119:非密封突起物120:電極121氣體供應器122氣體配管123突起物125a、125b:區124a-c氣體供應管126a_c導管128a-c氣體流動控制閥130混合支管132流體分流器134氣體配管136、138:製造區塊140、142:氣體出口144、146:區域148、150流動方向156:排氣裝置158:排出口162排氣管163節流閥164抽氣泵165氣體增能器166:電極電源供應器167:直流電壓供應器168:射頻匹配網絡169:射頻電源供應器170磁場產生器174天線175:天線電源供應器177:射頻匹配網絡179a、179b:線圈180製造監測器181a、181b幹涉儀182a、182b探測器184a、184b:光源186a、186b、188a、188b光束190a、190b聚焦透鏡192a、192b光束定位器194a、194b帶通濾波器196a、196b光偏振器201離子200a_j:電磁202a_j磁場產生電源供應裝204:電磁電源300:腔室控制裝置304:硬體接口308計算機312:CPU316計算機可用媒體320可抽取的儲存裝置324非抽取式儲存裝置328動態隨機存取記憶裝體332顯示器336資料輸入組件348計算機可讀取程序352製造選擇指示集356製造序列指令集360腔體管理指令集364基底定位指令集368氣流控制指令集369控制閥指令集370流體分流器指令集372氣體壓力控制指令集376溫度控制指令集380氣體增能器控制指令集384製造監測指令集385探測器指令集387探測參數指令集388製造反饋控制指令集392磁場控制指令集394查詢表400量測工具415光束420反射鏡425反射光束430光探測器435a-c:光學組件440測量控制系統445:圖像處理器(imageprocessor)具體實施例方式本發明實施例的基底製造裝置100,如圖1A-1D所示,其包括一個製造腔室104a_c與一個製造監測器180,其中製造腔室104a-c是用來對基底102進行製造;製造監測器180是用來監測基底102上不同區域上的圖案85。基底例如是半導體晶圓和顯示器。基底製造裝置100,是用來說明本發明,本發明的範圍並不限於此或等於此。通常,裝置100包括一具有電子連接器的基座101,其可垂直加載加載互鎖真空室(loadlockchamber)103a、103b、基底製造腔室104a-c及測量室105之中,如圖IA所示。加載互鎖真空室103a、103b是用來接收含有一批基底102的晶盒。加載互鎖真空室103a、103b中的基底102可藉由機器手臂107傳送到基底製造腔室104a-c。基底102再依序於製造腔室104a-c進行製造,製造腔室104a-c例如是蝕刻室、沉積室或清洗室。測量室105是用來單獨測量每一批基底中的各個基底,例如一批基底中的第一片基底或初始的基底(initialsubstrate)。雖然,以上是以不同的腔室作為說明,然而裝置100的腔室的位置或排列的方式並未特別限制,而且,裝置100亦可以是僅具有單一腔室的。製造腔室104a具有數面圍牆,包括一頂面106、側壁108和底面110,其定義出一製造區(processingzone)112,以使得具有能量的氣體保持在基底102之上,如圖IB所示。製造腔室104的頂面106和側壁108可以具有一個或多個窗113a、113b以使輻射例如是光透入。基底支座(substratesupport)114具有一基底承載表面116,其可承載基底102,此基底102可以藉由機器手臂107傳送到製造區112。有一種變化是基底支座114具有一介電質118,此介電質118至少覆蓋一部份的電極120,而電極120是可充電產生靜電荷以電性固定住基底102。熱傳送氣體供應器121是用來供應熱傳送氣體,例如是氦到基底102的背面。製造中所使用的氣體,例如是可以蝕刻基底102上的材料層的蝕刻氣體,其是以氣體配管122通入製造腔室104中。製造腔室104也可包括一排氣裝置156,以排出製造腔室104中的氣體,並調整製造腔室104中氣體的壓力。排氣裝置156包括一排出口158,其是位於基底基座114的上方,可由排氣管162將氣體傳送到抽氣泵164。排氣管162中的節流閥163可控制製造腔室104所排出的氣體的流量。典型的泵164包括低真空泵和高真空型泵。氣體增能器(gasenergizer)165可將射頻RF或微波能量耦合至製造氣體中以使製造腔室104的製造區112的製造氣體或是製造腔室104外部的遠程區(未繪示)的製造氣體增能。有一種變化是,氣體增能器165包括一對電極,其中電極120位於基底支座114之中,而另一個電極則由製造腔室104的側壁108或頂面106所形成。當頂面106和側壁108均是接地或是浮置時,可藉由電極電源供應器166透過射頻電源供應器169和射頻匹配網絡168將射頻電源供應到電極120上。或者,直流電壓供應器167可將直流電壓供應到電極120上,以產生靜電荷固定住基底102。另一種變化是,氣體增能器165包括天線174,其可藉由天線電源供應器175經由射頻匹配網絡177以產生電源,如圖IC所示。天線174可包括電感線圈179a、179b,其是覆蓋在製造腔室104的頂面106上,其可在製造腔室104中產生感應電場,以使製造腔室中的氣體增能。製造腔室104頂面106的材質為介電材料,例如是氧化鋁,以使感應的能量從天線滲入其中。製造腔室104頂面106的材質亦可採用半導體材料,例如是矽,以作為製造腔室104中與電極120耦合的電極。氣體增能器165亦可同時使用電極120和天線174。或者,製造腔室104亦可包括一磁場產生器170,如圖If所示,其可將具有能量的氣體混合或是限制在一個區域中,其詳細說明如後。製造監測器180是用來監測基底102的第一區域144上的圖案85的尺寸並產生一個第一信號,並且監測基底102的第二區域146上的圖案85的尺寸並產生第二信號。圖案85是不連續的結構,其彼此之間具有不同的間隙,例如基底102上的突起或凹陷,其排列成重複的圖案以形成圖案結構數組,如圖ID所示。測量圖案尺寸包括測量圖案85的寬度、深度、開口的大小或傾斜角度。圖案85,例如是介層窗開口或是接觸窗開口,其尺寸的測量包括開口的大小、深度或高寬比(aspectratio)。內聯機尺寸的測量則包括圖案的寬度、高度或厚度的測量。典型的測量表示少數個別圖案85的平均值,然而,亦可以單一的圖案85亦可單獨測量它。其它不連接的圖案85,例如是η型或是ρ型的區域亦可測量其摻雜的濃度、型態或覆蓋的區域。在監測基底102上的圖案85的尺寸時,是監測基底102上至少兩個不同的位置144、146上的圖案85。測量的區域可以選擇整個基底102,例如,可藉由製造測試基底102來決定其圖案的差異性,進而決定整個基底102上圖案的差異性。例如,在一個蝕刻製造中實際測量進行蝕刻製造之後的基底,若發現基底102的第一個中心區域144上的圖案85在進行蝕刻之後的形狀與基底102的第二周邊區域146上的圖案85在進行蝕刻之後的形狀有所不同,則以製造監測器180測量基底102其中心處144和周邊處146的圖案的尺寸。然而,亦可在基底的其它位置上進行測量,例如基底的相對應的邊緣處,如製造腔室104其氣體入口和出口處的基底的邊緣處。製造監測器180可用來測量基底102上整個網點上的圖案85,例如,基底上水平線和垂直線所形成的格子的交叉點上的圖案。亦可以測量基底102上相似區域,例如是同一列區域,如基底102的周邊區域上的圖案,以及由各個單一信號平均而得的信號,如基底周邊附近的環狀區域。製造監測器180所產生的信號傳送到腔室控制裝置300。腔室控制裝置300則依據由製造監測器180所得的信號,操作製造腔室104,以形成一個封閉的控制迴路(closedcontrolloop)來調整製造腔室104的製造條件。腔室控制裝置300由製造監測器180接收到圖案尺寸的信號之後,會進行評估,並發出控制信號,以操作製造腔室104設定製造腔室104a、104b的製造條件,進而讓基底102上形成所需的圖案,例如是控制或是改善基底102不同區域上的圖案85的尺寸和均勻度。在進行信號評估程序時,腔室控制裝置300可由查詢表(look-uptable),或是由製造監測器180所傳送的第一個和第二個信號的電平(level),經由數學方式計算所需的製造條件,以決定出製造腔室104a、104b的適當的製造條件。在一實施例中,腔室控制裝置300會依據圖案測量信號的強弱,操作腔室的組件,以將製造腔室104其製造區112之中不同製造區塊(processingsector)136、138設定成不同水平的製造的參數。製造區塊136、138的製造條件可得知所處理的基底102中特定區域上的圖案85為何。例如,腔室控制裝置300可以接收第一信號和第二信號,並設定製造腔室104a、104b的製造參數,以處理第一區域和第二區域上的圖案85,補償(compensate)其尺寸上的差異。製造區塊136、138是製造區112中相鄰的兩個區域,此二區塊上的製造條件可設定成不一樣。例如,第一製造區塊136位於基底102的第一區域144的上方;而第二製造區塊138位於第一區域144外圍的第二區域146的上方。在此例中,第一區域144是基底102的中心處;而第二區域146則是基底102的周邊處。在另一例中,第一區域144可以是位於製造腔室104a、104b的氣體入口處的附近,例如是氣體配管122的出口142附近;而第二區域144位於氣體出口處的附近,例如是氣體排出口158的附近。在各個製造區塊中,腔室控制裝置會將所選定的製造參數設定在一個特定的水平(particularlevel)。在相似的區塊136、138的基底102暴露於加能的氣體後,可將此二區塊136、138製造參數區域化的水平設定成不連續或不同的數值,以控制此二區塊136、138的基底102的的圖案85的製造特性。例如,區域的製造參數可包括氣體流率或氣體流速、位在區塊邊界如基底102內環和外環邊界的基底102區域的溫度、施加在氣體增能器165的氣體增能電源的大小,其可依據另一個區塊而單獨將一區塊的氣體增能到一特定的水平及製造腔室的區塊中的平均磁場強度。將各區塊136、138的製造參數設定成不同,可以分別控制進行製造的基底102其不同區域144、146上的圖案85,以維持預定的第一和第二製造速率或達到預定的製造水平。例如,可控制進行製造的圖案85,以使得基底102上不同區域144、146的圖案85在進行處理之後具有大致相同的尺寸或達成所要的不同特性。例如,腔室控制裝置300可將製造腔室104a、104b的第一製造區塊136的區域製造參數設定在一個可控制的第一水平(firstlevel),以第一製造速率處理基底102上第一區域144上的圖案85,並且同時將第二製造區塊138的區域製造參數設定在一個可控制的第二水平,以第二製造速率處理第二區域146上的圖案85,以在製造的終點時使得最終的圖案85具有相同尺寸或具有所預定的不同尺寸。在另一個實施例中,腔室控制裝置300可以從具有多組製造處方(processrecipe)的查詢表中選出一種特定的製造處方。選擇製造處方的方法,是依據製造監測器180探測基底上不同區域144、146的圖案85尺寸所得到的第一信號和第二信號來選定的。各個製造處方可依據兩個測量區域144、146其製造的屬性量身設定,以使得圖案85在進行蝕刻之後具有大致相同的尺寸或是具有所控制的不同尺寸。製造處方可包含預定的基底溫度水平、氣體組成、流經不同氣體出口的氣體流率、氣體增量電源範圍或磁場強度。一種變化例如是,製造處方是採用一種氣體組成,其可增加基底102其第一區域144的圖案的製造速率,使得其在製造最後的製造速率與第二區域146的圖案的製造速率相同。查詢表中有第一、第二信號電平(level)的集合,或是第一信號和第二信號的數學操作數(mathematicaloperand),且其連結具有一組製造參數水平的製造處方。例如,各查詢表的各項目是有序的數字集合,最前面的兩位數是第一和第二信號電平(level),第三位數則是所連結的製造處方的號碼。在另一例中,查詢表可包含一有規則的字符串,此字符串包括最前面的一位數是第一信號和第二信號的數學操作數,例如是第一和第二信號電平(level)的比值、第一和第二信號電平(level)的差值或是第一和第二信號電平(level)之間的其它種代數關係,而第二位數則是所連結的製造處方的號碼,其中製造處方號碼含有一組製造參數水平。製造處方可以從一批已進行製造的基底中選擇一片基底102來進行測試。例如,將一個晶盒中的基底(未繪示)置於加載互鎖真空室103a、103b之中,接著,利用機器手臂107選擇一片基底102,並將其傳送到測量室105之中,之後,再於測量室105中測量基底102中不同區域144、146上的圖案85的尺寸或特性,例如是以製造監測器180如量測工具(metrologytool)400來進行測試。其後,將測試所得的信號傳送給腔室控制裝置300,腔室控制裝置300再由查詢表中選擇一個製造處方,以藉由此製造處方中合適的製造參數處理晶盒中具有相同測量屬性的一整批的基底102。例如,所測量的圖案85的尺寸大於平均值,則必須調整製造條件,以修正圖案85被過度蝕刻的問題,而相反的情況亦可採用。單一晶片的製造步驟之間亦可進行此種測量,其將基底102上移出製造腔室104並將其送入測量室105中以進行測量,然後再重回製造腔室104之中,依據所測得的信號選擇製造處方,再經由製造處方的製造條件進行製造。在另一實施例中,腔室控制裝置300可用以改變製造腔室104之中的參數,將參數由處理單一個初始基底的初始製造參數,改變成處理一整批初始基底的製造參數,其中整批初始基底與單一個初始基底具有相似的屬性。一種變化是,從晶盒中的一整批基底中取出一片初始基底或是第一片基底,並將其傳送到製造腔室104之中以進行製造。在進行製造之前或之後,或是在進行製造期間,測量基底102上多個區域上的圖案85的尺寸,並在完成製造之後,測量基底上不同區域上的圖案的尺寸。腔室控制裝置可以用來評估基底的不同區域上的圖案85的原始尺寸之間的差值,以及/或基底在進行製造之後其圖案85在尺寸上的改變量,且從查詢表中可決定特定集合的批次製造參數或製造處方,以減少基底在進行後續製造時其圖案的尺寸的差異性。其後,在一批基底中選出與初始基底具有相似屬性的另一個基底,並以所決定的製造處方或批次製造參數進行製造,以進一步減少整批基底其不同區域上的圖案85在關鍵尺寸上的差異。此方法可以彌補處理不同批次的基底其製造上的變化或是不規則的情形。製造監測器以下將詳述各種的製造監測器。一種變化是,製造監測器180包括數個幹涉儀(interferometer)181a、181b,其用來探測光線,例如是可見光或紫外光,也就是從基底102不同區域144、146上的圖案85反射的光線來決定在特定時間各區域上的圖案85的狀態,如圖Ib所示。例如,第一幹涉儀181a具有一第一光源184a,其可將一第一光束186a導向基底的第一中心區域,以產生一反射的光束186b,此光束186b再被第一探測器182a所接收,而再產生一個與反射光束強度有關的第一信號。第二幹涉儀181b包括一第二光源184b,其可將第二光束188a導向基底的第二周邊區域146,以反射形成光束188b,此光束188b再被第二探測器182b所接收,而再產生一第二信號。各光源184a、184b可以是單色光源,例如是氦-氖或ND-YAG雷射,或是多色光源,例如是氙或汞鎘燈。多色光源可以被過濾而成可提供具有選擇性波長的光束,或者可在探測器的前面設置一濾光器(lightfilter)0幹涉儀181a、181b的光源可採用製造腔室中的電漿所產的光。典型的光探測器182a、182b包括感光型感側器(lightsensitivesensor),例如光電倍增管、光電電池、光二極體或光敏電晶體(phototransistor),其可依據基底102反射所測得的反射光束186b、188b的強度和相位而形成一個電性強度信號。光束聚焦透鏡(focusinglense)190a、190b可使光束186、188聚焦到基底102上的不同點,或將反射光聚焦到背面的光探測器182a、182b。或者,可以使用光束定位器(lightbeampositioner)192a、192b,例如是旋轉鏡,將光束引導到適當的位置上,以使得反射光可以直接反射到光探測器182a、182b,或以光束186a、186b掃描整個基底上的光柵圖案。當基底102上所形成的圖案85的面積小於周圍尚未進行製造的區域時,則必須增加所測得的圖案85尺寸的信號噪聲比。一種變化是,使用光偏振器(lightpolarizer)196a、196b,以在光束從基底102反射之前或反射之後極化,藉此增加基底上的圖案85所反射的反射光的信號噪聲比,例如是Sui等人揭露於美國專利第09/695,577號的專利,此專利的名稱為「以反射的輻射光監測基底的製造」,其全部的內容併入本案參考。極化角度與基底102上進行過製造的圖案的主方向有關,其包括一個與主方向大致平行的第一極化角和一個與主方向大致垂直的第二極化角。具有大致平行的極化角的反射光分量,其強度大於其它方向的極化角的反射光成分的強度。因此,所測得的平行反射光成分和其它方向的反射光成分,可用來增加圖案85的反射光的強度(此反射光的強度與其它區域,例如是基底102上相鄰區域或是光阻區域所反射出來的反射光有關),以增加反射光的信號噪聲比。監測反射的極化光可得知基底102上的圖案85的蝕刻深度或蝕刻速率。因此,基底102上所形成的圖案85的蝕刻深度,可計算反射的極化光的破壞性/建設性幹涉的信號的最大值和最小值而得知。幹涉儀181a、181b也可以具有濾波器(filter)194a、194b,例如是帶通濾波器(bandpassfilter),以選擇性過濾掉光源探測器182a、182b所產生的信號,增加信號頻率或波長的所選擇的帶通其相對於反射光的其它頻率的相對強度。帶通可與基底102上所形成的圖案85的反射光的強度調變頻率有關,以減少基底102上其它位置所反射的任何光信號的強度。帶通濾波器可以是光學信號處理器(opticalsignalprocessor)例如是經塗布的透鏡或材料,或是電子信號處理器例如是數位訊號處理器,數位訊號處理器可將光探測器182a、182b所接收的光信號數位化並將數位化的信號過濾掉。一種變化是,所選擇的通帶範圍是可以提供一種非同調光源的同調長度,其可例如是具有多波長、多相位的電漿放射(plasmaemission)。同調長度是指光源的幹涉效應可以觀測得的長度。一種變化是,對於以254納米波長為中心的電漿放射,帶通濾波器的通帶範圍可以是1.5納米。另一種變化是,製造監測器180包括一個電漿放射分析儀,以從所進行製造的圖案的電漿的放射光譜的改變情形定量測量圖案的尺寸。電漿放射分析儀包括一第一探測器182a與一第二探測器182b,其中第一探測器182a可探測基底102第一區域144上方的第一區塊136的電漿所放射出來的光;第二探測器182b,可探測基底102第二區域146上方的第二區塊138的電漿所放射出來的光,如圖IC所示。從預定的電漿位置上所放射的各種光線,是用來產生一個單獨的信號(s^aratesignal),其可輸出成各種的信號或組成一個信號。電漿放射分析儀可分析不同電漿區域的放射光譜,以決定該區上的圖案85其化學組成的改變或其它屬性的改變。例如,放射光譜是會隨著蝕穿一層材料層到另一層具有不同化學組成的材料層而有所不同。光束定位器192a、192b可用來移動探測器182a、182b的探測位置或聚焦透鏡190a、190b觀測方向。另一種變化是,製造監測器180包括一個反射計(reflectometer)(未繪示),其可將光束導向基底102,並探測反射的光束的振幅,例如美國專利第6,462,817號和第6,297,880號所揭露者,其二者的內容併入本案參考。反射計可用來決定各種屬性,例如形成在基底102上的圖案85的厚度或反射指數(indexofrefraction)。反射計包括一雷射或其它的光源,以將光束引導到基底102特定的位置上,並且包括一光探測器,以測量反射的光束的強度。反射計也可包括一個可調式濾波器,以控制入射或反射光束的波長。或者,反射計可以是可同時測量波長的頻帶者。反射計也可包括一個裝置,以調整入射到基底102的角度。另一種變化是,反射計可以使用極化的入射光束,且包括一偏光板和相位減速器(phaseretarder)或調變器,如前所述者。例如,當反射計是用來判斷圖案85的厚度時,而圖案85具有一已知的反射的波長相關指數,並且其消光係數(extinctioncoefficient)為零,反射計可在法線的入射角使用非極化光,並且測量反射光束和入射光束之間的強度比,且此強度比為波長的函數。由反射強度和波長的關係圖以及已知的反射的指數,可以利用Maxwell關係式計算出圖案85的厚度。例如,圖案85上的一單材料層的反射,其反射強度主要是與圖案材料(其與波長和角度有關)的反射指數以及圖案85的厚度有關。由於已知波長與反射的指數有關,而且入射的角度不變,因此,所收集的數據可以用來解釋圖案85的厚度。另一種變化是,入射的角度是可以改變的,且入射光束的極化率也是可以改變,以產生一些資料,例如所測量的反射強度可以做成角度和極化率的函數以及波長的函數,以解釋複合層圖案85。另一種變化是,製造監測器180包括一個橢圓測厚儀(elIipsometer,未繪示),其可將一極化光束導向基底102,並可同時探測從基底102所反射的光束的相位和強度的改變情形。光束被極化成與基底的表面平行的平行光(P分量)和與基底的表面垂直的垂直光(s分量)。反射的s分量和ρ分量的強度比以及相位比,可依照已知的數學式表示成與橢圓的參數Ψ和Δ有關的參數。例如,美國專利第3,874,797號以及第3,824,017號所揭露的橢圓測厚儀,其內容併入本案參考。另一種變化是,製造監測器180是一個量測工具(meterologytool)400,其可在製造腔室104中原位(in-situ)或是在基底製造裝置100的製造線中位於製造腔室104外部的測量腔室105中監測基底上進行製造後的圖案85的尺寸。基底102可由製造腔室104傳送至測量室105,再以量測工具測量基底102。量測工具400亦可以架設在一個單獨的腔室之中,例如加載互鎖真空室103a、103b或是傳送室之中。以測量基底102所得的測量資料調整製造參數,藉以改善另一個基底的製造,其說明如下。量測工具400可用來測量基底102的性質,例如是在基底102進行製造之前或進行製造之後,測量基底102上圖案85的關鍵尺寸(⑶)、線輪廓或其它的形狀特徵。量測工具400的實施例如圖11,其包括一個光學測量組件,其可測量圖案85在進行製造之後的圖形尺寸,例如是圖案的寬度、高度、間隙、形狀或圖案邊緣的傾斜角度。例如,一種變化是,量測工具400是一個繞射線輪廓儀(diffractivelineprofilometer),其可將一極化的寬頻光束導向基底102。圖案85將在基底102上形成一個繞射光柵(diffractiongrating)。典型的是,在一個區域上的圖案85是呈周期性的,例如是數組線。量測工具400包括一周期性圖案85模型,其具有可決定圖案85形狀的可調參數。圖案85的初始圖案評估值輸入於量測工具400之中,測量工具400將由此初始圖案評估值計算其繞射光譜,例如精密耦合波分析儀(RigorousCoupledWaveAnalysis,RCWA)。若是所計算的繞射光譜和所探測的繞射光譜之間不一致,可以以非線性回歸的方式使輪廓的評估值最適化。此最佳化的步驟可重複施行,直到輪廓評估值所計算的繞射光譜和所探測的繞涉光譜之間在期望的容忍度之內。合適的測量工具400包括一繞射線輪廓儀,其實例如加州NanometricMilpitas所製造的NanoO⑶模型。以繞射的方式來判斷一區域中重複圖案85的線形輪廓的方法如Conrad等人的美國專利第5,963,329號所述者,其內容併入本案參考。在另一實施例中,製造監測器180也可是一個散射儀(scatterometer)(未繪示),其可以進行2-θ散射測量,其中所測量的散射光的強度是入射角的函數。依照光柵方程式,光被基底102上的周期圖案85繞射,其中光柵方程式為sinθi+sinθr=/d,其中θi是入射角;ΘΓ是反射角;m是繞設階;λ是光的波長;d是基底上所評估的圖案的周期。光柵的周期小對應的圖案的尺寸小,而一般m=0對應的入射角等於反射角,是較容易被觀察到繞射級。在散射測量中,入射光和反射光可被極化成s分量和ρ分量,以利於測量。決定要被處理的基底特性的資料分析,可以使用依據收集的資料或是收集的資料與預先計算的答案比較,去解一個數學模型,以決定出最佳的匹配。例如,使用將觀察值與答案(solution)之間的均方根值最小化的算法。圖11所繪示的測量工具400包括可以產生入射光束415的光源410。部分反射鏡420可將入射光束415導向基底102,以照射基底102,並從基底102反射而產生一反射光束425。反射光束425通過部分反射鏡420,並射入具有感光組件的光探測器430之中。在光源410、部分反射鏡420、基底102及光探測器430之間可裝設光學組件435a_c,以使入射光束和反射光束415、425聚焦,或藉由控制開口大小來修正其寬度(aperture),或是修正其形狀(stigmate)或直接進行更改(modify)。例如,光學組件435a_c可包括透鏡以及可調整的裝置。光學組件435a-c可藉由測量控制系統440來予以控制,並且基底支座114可以使得基底102的測量具有高精確度。在一實施例中,光探測器430可用來測量反射光束425的頻譜上的多重振幅(multipleamplitude),以評估基底102的目標圖案的關鍵尺寸(⑶)。例如,光探測器430可包括一個單一的感光電子組件,例如是感光光電傳感器數組,例如是C⑶探測器。圖像處理器(imageprocessor)445可接收光探測器430的圖像,並進行處理,以決定基底102上的圖案85的關鍵尺寸。與基底102上的高低圖案相對應的圖像邊界,可藉由電子圖像的強度範圍之間的差異而具有數位化的輪廓。目標圖案的關鍵尺寸可測量目標圖案的邊緣所對應的圖像邊界之間的距離來予以計算。在另一實例中,光探測器430可藉由橢圓儀(spectroscopicellipsometry)來判斷基底102上的圖案85的厚度。進入光探測器430的反射光束425會具有一個極化角,探測此極化角可計算出圖案85其在厚度上的改變。例如,基底102上具有第一厚度的圖案85,可測得反射光束425的極化角。而基底102上具有第二厚度的圖案85,亦可測得反射光束425的極化角。第一厚度和第二厚度之間的差值可由下述方式計算而得將極化角的改變除以沿著反射光束425行進距離的極化角度的預定改變率。控制器請參照圖2A,典型的腔室控制裝置300包括一個計算機308,其具有一中央處理器(CPU)312,例如是Pentium處理器,其可由美國加州的Intel公司購得,此中央處理器系與一內存316以及計算機周邊組件耦接。內存316可包括一可抽取的儲存裝置320例如是⑶或是磁碟驅動器、一非抽取式儲存裝置324例如是硬碟、動態隨機存取記憶體(RAM)328。腔室控制器300可再包括一硬體接口304,其包括模擬或數字的輸入和輸出板以及馬達控制板(motorcontrollerboard)。操作人員可由顯示器或是資料輸入組件336來了解、掌握腔室控制裝置300。操作人員可透過資料輸入組件336,例如是鍵盤或光筆來選擇特定的屏幕或功用。腔室控制裝置300亦包括一計算機可讀取程序348,其儲存在內存316之中,且包括可控制、監測在腔室104中所進行的製造的程序化編碼(programcode)0計算機可讀取程序348可以任何公知的計算機可讀取程序語言寫入資料。適合的程序編碼以公知的文字編輯器,輸入到單一或多個檔案中,並且是儲存在或嵌入於內存的計算機可用媒體316之中。若所輸入的編碼文字是高級語言,該編碼便被編譯,最終的編解碼被連結到一個預先編譯的函式庫程序的對象碼。要執行此連結、編譯的對象碼,使用者運用該對象碼,促使CPU312去讀取並執行此編碼,以完成程序348中所指定的工作。圖2B所示一實施例的計算機可讀取程序348的控制結構。使用者利用資料輸入組件336響應顯現在顯示器332上且由製造選擇指令集352所產生的選單或屏幕,將一組製造參數和腔室的編號104a、104b輸入計算機可讀取程序348。製造序列指令集356包括可程序編碼,以接受腔室型式以及製造選擇器352的製造參數集,並計算其操作時間。製造序列指令集356藉由把特定的製造參數傳給腔室管理指令集360,以激活製造集合的執行,其中腔室管理指令集360控制腔室104a、104b中的多個製造工作。例如,腔室管理指令集360可以包含各種不同的腔室構件指令集合,諸如下述(1)基底定位指令集364,用以控制腔室構件去加載基底102至基底支座114,並且選擇性地在腔室中把基底102上升到需要的高度;(2)氣流控制指令集368,用以控制導引到腔室104a、104b中的蝕刻氣體的組成、經過不同氣體出口140、142的流率與速度;(3)氣體壓力控制指令集372,藉由調整節流閥的開口大小,控制腔室104內的壓力;(4)溫度控制指令集376,例如操作基座114中的加熱器(未繪出)、熱轉移氣體的流率、或輻射能源燈(也是未顯示),控制基底102的不同區域144、146的溫度;(5)氣體增能器控制指令集380,控制施加到腔室104a、104b的氣體增能器165的功率水平;(6)磁場控制指令集392,用以操作一個做為選擇性構件的磁場產生器170;(7)製造監測指令集384,監控要在腔室104進行的製造;以及(8)製造反饋控制指令集388,做為製造監測指令集384與其它腔室構件指令集之間的反饋控制迴路。雖然在此被描述成分離的指令集,以執行一組工作,但是這些指令集的每一個都可以被彼此整合,或可以被重疊;因此,在此描述的腔室控制裝置300與計算機可讀取程序348不應該限定為上述功能性程序的特定版本。製造監測指令集384包括如(i)第一探測器指令集385,以接收及/或評估來自基底102的第一區域144被反射的光束186a其被第一探測器182a探測後所產生的第一信號,以及(ii)第二探測器指令集386,以接收及/或評估來自基底102的第二區域146被反射的光束186b其被第二探測器182b探測後所產生的第二信號,藉以決定在不同區域144、146的製造狀態的比較信息。評估每一個信號,以決定在基底區域中要進行製造的圖案85的屬性,而信號是從這個區域產生的。例如,當製造監測器180包括幹涉儀181a、181b,製造監測指令集384可以計數兩個信號中各個的幹涉邊緣的數目,或者是實時地把信號強度與儲存的特性波形、量測的或計算的代表性資料圖形、或儲存在查詢表中的資料。製造監測指令集384也可以包括控制光源184a、184b的程序代碼、帶通濾波器194a、194b、光束定位器192a、192b、聚焦透鏡190a、190b或光偏振器196a、196b。探測參數指令集387包括與探測參數相關的編碼,如選擇的波長、反射或放射光的特性屬性、時序資料、幹涉邊緣的預定數目、查詢表、把數據模型化的算法以及其它資料形式與圖形。資料參數可以在腔室104a、104b中,一次一個去處理具有預定圖案的尺寸的測試基底的方式來決定。例如,被在基底102上具有不同尺寸的圖案85所反射的一連串光跡,以及/或腔室104中從電漿的不同區域放射出來的一連串光跡均被記錄下來。評估這些光跡,以確認出在一光跡的可辨識且可探測的改變情形,其以算法、查詢表、儲存的參數、或其它適合用來評估在基底上要被處理的圖案尺寸的判斷基準等等的形式,以輸入到並程序化到探測參數指令集387中。製造反饋控制指令集388在製造監測指令集384與其它腔室構件指令集之間形成反饋控制迴路。製造反饋控制指令集388依據來自製造監測指令集384的信號,產生並傳送信號去指示腔室構件指令集,來設定在基底102上不同區域的不同區域化水平的參數。例如,製造反饋控制指令集388可以從腔室控制裝置300的內存316去取回查詢表,並且從查詢表確認出對於腔室104a、104b較適合的處方或區域化製造參數值的集合,其中前述查詢表與從製造監測指令集384接收到的製造監測信號的值有關聯。在另一個例子,腔室控制裝置300可以根據從製造監測器180所接收到的第一與第二信號的電平(level),以數學方式去計算出一或多個區域化製造參數等級。控制氣體流以調整圖案的尺寸在此例中,基底102的第一區域144和第二區域146上的圖案85在進行製造之後,探測其尺寸以得到第一信號和第二信號,並控制流入第一和第二製造區域136、138的製造氣體的氣體流率。設定兩個不同的局部氣體流率,調整腔室控制裝置,以探測不同基底區域144、146上的圖案尺寸的差異性,補償尺寸上的差異。一種變化是,氣體配管122藉由導管126a_c與氣體供應管124a_c連接,導管126a-c具有氣體流動控制閥128a-c,其可控制流經混合支管130的氣體組成,如圖IB所示。混合支管130可將各種氣體混合,以形成製造氣體。製造氣體再送入流體分流器(flowsplitter)132,並經不同的氣體出口140、142或氣體配管134而分成數個氣體流。氣體出口140、142可將不同氣體流率的製造氣體導入腔室104的製造區112的製造區塊136、138之中,以使得不同氣體流的氣體送到基底102的不同區域144、146上。然而,氣體出口140、142亦可設置在可將氣體導入於腔室104其它區域的位置上。氣體出口140、142可以延伸穿過腔室的頂面106(未繪示)、側壁108或支座114(未繪示)。氣體配管134亦具有流體分流器132,其具有單一的輸入信道(inputchannel),可接收預先混合的蝕刻氣體,以及一個分支閥(bifurcatedvalve),其可引導兩個輸出信道以使中心或周邊的氣體出口140、142的同一種製造氣體變成兩種輸出氣體流。分支閥可同時在第一和第二輸出信道設定第一流率和第二流率。由於設定一種流率可自動設定其它的部分以達到期望值,而不需要將兩個分離的氣體流閥彼此校準。因此,單一的輸入信道可提供較可控制的流率比,以流經輸出信道。然而,流體分流器132亦可在分離的管道上設置單獨的氣體流閥,其可將流體從混合支管130分別導入到中心處或是周邊處的氣體出口140、142。例如,當需要調整其中之一的流率,而不改變其它的流率時,後者可以依照所需獨立控制各個閥。氣體配管134亦可具有多個氣體出口140、142,其彼此相隔一距離,以在腔室104中產生非均等分配的製造氣體,改善整個基底102的製造均勻度。由氣體流分流器的氣體出口140、142的排列方式可以經由流體動力學的計算或是測試基板的實驗研究來決定。例如,中心或周邊處的氣體出口140、142位於可提供氣體流入口點的位置上,氣體流入口點可產生共點但分離的氣體流圖案,而分送在不同的製造區塊136、138上,以控制基底102的不同區域144、146上局部氣體的分布或氣體的駐留時間。周邊的氣體出口142系彼此分離而呈一個徑向向外的環狀;中心處的出口140系與周邊的氣體出口142共軸,並且在內環且彼此分離,如圖IE所示。開口113a系在中心氣體出口140的環內,其可讓光通過製造監測器180。氣體出口140、142亦可設在相同半徑線上或是在間隔在半徑線上。一種變化是,氣體配管122具有12個中心出口140以及12個環繞在其周圍的周邊氣體出口142。氣體出口140、142,其開口尺寸亦可不相同,以注入不同速度的氣體。例如,中心氣體出口140的開口尺寸可以提供第一種氣體速度;而周邊氣體出口142的開口則是另一種尺寸,其可以提供第二種速度。在一實施例中,所選擇的開口尺寸可以提供第一種速度,其至少比第二種速度高出一倍。不同的速率可以使得氣體流具有不同的駐留時間,氣體流可在腔室104產生一流向分布,其與其它非控制的製造變量相當。例如,氣體流速較高的區域可以藉由添加具有較高速率的製造氣體而提供不同的蝕刻特性,並藉此改善其蝕刻特性,例如是在該區域的化學反應或等向性蝕刻特性,以控制該區域的圖案在進行製造之後的形狀。氣體出口140、142亦可用來導引氣體,使氣體沿著不同角度的流動方向148、150流動。例如,氣體出口140設在可以將氣體導引成垂直的方向148的位置上,其大致上與基底支座114的承載表面116垂直,如圖IC所示。或者,氣體出口142亦可設在可以將氣體的流動方向150導引成傾斜於承載表面116而具有一角度,如圖IB所示。垂直的第一流動方向的製造氣體,可在基底102的中心區域144上方提供垂直的氣體流;而具有角度的第二流動方向的製造氣體則可以提供在基底102的周邊區域146上方提供傾斜的氣體流。氣體出口140、142亦可引導氣體流呈水平,並與基底支座114平行(未繪示)。兩種氣體流的指向角度的差異,亦可控制基底102上不同區域146、148的新鮮的製造氣體的流率和傾斜的角度。在此種變化中,腔室控制裝置300的製造反饋控制指令集388將指令傳送到氣流控制指令集368,以依據第一和第二監測信號控制流經氣體出口140、142的氣體流率。氣流控制指令集368亦可包括,例如是控制閥指令集369,其包括可程序化編碼,以設定不同的氣體供應閥124a_c的氣體流動控制閥128a-c的位置,藉以獲得一垂直的製造氣體組成。氣流控制指令集368亦可包括一流體分流器指令集370,其具有一可程序化編碼,以調整流體分流器132流經中心氣體出口140、142的製造氣體的第一體積流率以及流經周邊氣體出口140、142的製造氣體的第二體積流率,以使氣體出口140、142二者或其中之一具有所需的體積流率。例如,若是基底上的圖案85被蝕刻到關鍵尺寸時,基底102的第一中心區域144的速率較高於第二周邊區域146,則製造反饋控制指令集388將指示氣流控制指令集368操作氣體分流器132,以減少蝕刻氣體流經中心氣體出口142的流率。此例中,製造參數包括局部的氣體流率,其由不同的製造區塊136、138所控制,以控制基底102的不同區域144、146上所蝕刻的圖案85的特性。同樣地,氣流控制指令集368可以改變氣體出口140、142的流率或開口的尺寸,以控制流經氣體出口的氣體的速率。局部氣體流率或速度亦可經由設定而符合基底102的中心或周邊區域144、146上的蝕刻後的圖案85的特性,以使區域144、146上的圖案85的尺寸大致相同,也就是其差異小於5%。在另一例中,製造反饋控制指令集388可以由製造監測器180所接收的第一和第二信號的水平,經由數學的方式來估算局部製造參數的水平。例如,第一信號水平為S1和第二信號水平為S2,第一和第二製造氣體流率的差為AF,AF可由數式AF=HC1S1-C2S2)計算而得,其中CpC2、k對特定製造處方和數學式為實驗所決定的常數。然後,腔室控制裝置300可以以AF指示氣體分流器指令集370設定氣體分流氣的開口位置,以使得流經氣體出口140、142的製造氣體具有所需要的流率。第一和第二流率可依據第一和第二信號來設定,以使得第一流率的值和第一信號的水平成比例;第二流率的值和第二信號的水平成比例。例如基底102的第二區域146上的圖案85被蝕刻之後,其關鍵尺寸不同時,可將第一流率的水平設定成一較高於第二流率,以在基底102的第一區域144上提供較多的蝕刻氣體,減少蝕刻速率和關鍵尺寸上的差異性。實例以下的實例將說明在DPS型腔室中,基底102上不同區域144、146上被蝕刻的圖案85的蝕刻尺寸的製造控制,其部分示意圖如圖IC和圖IE所示。製造監測器180包括一幹涉儀,其用來探測從基底102反射的光以及通過頂面106中心的開口113a的光。蝕刻氣體通入腔室104,其可(i)僅通過周邊的氣體出口142、(ii)僅通過中心的氣體出口140、或(iii)以不同的流率同時通過中心和周邊的氣體出口140、142。中心的氣體出口140可將氣體垂直導入腔室104之中,其和基底102平面的法線的夾角為0度;而周邊氣體出口142亦可將氣體導入腔室104之中,其以和基底102平面的法線的夾角為45度或是90度的方向導入。在進行蝕刻製造時,腔室控制裝置300依據製造監測器所探測得的信號來設定流經氣體出口140、142的蝕刻氣體組成和流率。以蝕刻氣體蝕刻矽晶圓上毯覆式的多晶矽層中圖案85,其中蝕刻氣體包括HBr和He-O2,且可選擇性包括Cl2,或是Cl2、O2和N2。進行主要的蝕刻步驟的壓力約為4mTorr;而最後階段的蝕刻步驟系在較高的壓力下進行,其壓力約為30mTorr。典型的天線源功率水平(antennasourcepowerlevel)系維持在200至800瓦,電極偏壓功率水平(electrodebiaspowerlevel)系維持在40至400瓦。在進行蝕刻之後,所蝕刻的圖案85的特性系由掃瞄式電子顯微鏡來判斷與鑑定。圖3是控制流經中心和周邊氣體出口140、142的氣體流率,以使基底的直徑上不同區域140、142的圖案具有均勻的蝕刻率。Y軸為沿著基底直徑-從中心處到圓周所測量的圖案的蝕刻率。X軸是從300mm的基底的中心處沿著直徑的距離,其中Omm表示基底102的中心處,(-150mm)表示第一個圓周處,(150mm)表示與其相對的另一個圓周處。當所通入的蝕刻氣體流經周邊的氣體出口142時,位於基底102中心區域144的圖案85的蝕刻的速率向下凹且比位於基底102其兩個周邊區域146的圖案85的蝕刻的速率緩慢。當所通入的蝕刻氣體僅流經中心的氣體出口140時,位於基底102中心區域144的圖案85的蝕刻的速率大於位於基底102其兩個周邊區域146的圖案85的蝕刻的速率。當氣體流同時流經中心和周邊的氣體出口140、142時,位於基底102中心區域144和周邊區域146的圖案85的蝕刻速率的差異性較低,約為1150至1275埃/分鐘。此期望例顯示以具有中心和周邊氣體出口140、142的氣體配管134控制不同氣體流的閉控制迴路可減少蝕刻率的差異性,並且可以明顯的增進基底102的蝕刻率的均勻性。圖4表示設定氣體配管134的流體分流器132,將氣體流提供在(i)僅在周邊氣體出口142或(ii)僅在中心氣體出口140,或是(iii)同時在全開(11)的中心和周邊氣體出口140、142或(iv)同時在半開50%(0.50.5)的中心和周邊氣體出口140、142的情形。氣體流僅通過中心氣體出口140時,基底102的中心區域144的蝕刻率較高,其值高達6200埃/分鐘,而周邊區域146則具有較低的蝕刻率,其值約為5800。氣體流僅通過周邊氣體出口142時,基底102的中心區域144的蝕刻率較低,其值約為4500至5000埃/分鐘,而周邊區域146則具有較高的蝕刻率,其值約為6000埃/分鐘。氣體流同時通過中心和周邊氣體出口140、142時,其蝕刻率在5200至6000埃/分鐘之間變化。蝕刻率可測量尺寸而得知,例如是測量圖案85的厚度或深度。圖5表示另一尺寸的基底在一半徑區域的蝕刻圖案85的預定的傾斜角隨著流經周邊氣體出口142和中心氣體出口140之間的流率的增加的變化情形,其中半徑區域係指從基底102的中心區域144的區域至周邊區域146。其中,0表示僅有中心氣體流,而1表示僅有周邊氣體流。所蝕刻的圖案85的傾斜角,是仿真在半徑區域上線寬為0.18微米且彼此分離的圖案85進行蝕刻之後的結果。此圖顯示控制氣體流分布對蝕刻圖案85的傾斜角有很大的影響,控制氣體流分布的方法是控制流經中心和周邊的氣體出口140、142的蝕刻氣體的流率。當蝕刻氣體僅通過中心氣體出口140時,基底102的中心區域144上圖案85在蝕刻後的傾斜角大於84度;而基底102的周邊區域146之上的圖案85的傾斜角則接近所期望的82度。相反地,當所有的蝕刻氣體流經周邊的氣體出口142時,圖案85的傾斜角較小,為77度至小於80度,且位在周邊區域146的圖案的傾斜角高於位在中心區域144者。將周邊氣體流率和中心氣體流率的比值設在約為21至41,較佳的是約為31時,可獲得良好的傾斜角均勻度。因此,基底102中圖案密集區的圖案85在進行蝕刻之後可具有相似的圖案。圖6是依據傾斜蝕刻仿真模型所繪示的預測的傾斜角均勻度和周邊氣體出口的氣體流率以及中心氣體流率的比值的關係圖。當流率比約為75%時,也就是流經周邊氣體出口和中心氣體出口142、140的蝕刻氣體的流率比為31時,具有理想的傾斜角範圍,約為1,其表示孤立區和密集區的圖案(isolatedanddensefeature)的傾斜角相同,為最佳的傾斜角均勻度。流率比為31時,整個基底102上的蝕刻圖案的傾斜角的差異性最小。圖7顯示以新製造和基線製造(baselineprocess)進行蝕刻,其基底102半徑上不同點的蝕刻圖案85所測量的傾斜角的比較圖。在此例中腔室104中的氣體配管122包括第一氣體出口140或稱為中心氣體出口140以及第二氣體出口142或稱為周邊氣體出口142。中心氣體出口140可以將所導入的蝕刻氣體與基底102平面的法線夾10度角;而周邊氣體出口142可以將所導入的蝕刻氣體與基底102平面的法線夾30度角。氣體出口140、142是鑽入直徑寬為10英時且由石英製成的氣體配管134之中。流率比為31的製造,其傾斜角可增加至84至86度;而基線製造所得到的傾斜角則為82度至84度。傾斜角的平均值增加,表示其比基線製造所得的傾斜角增加1至5度。傾斜角的範圍(rangeoftaperangle)亦可窄到約為2至2.5度,特別是必須考慮到所增加的傾斜角的大小時,其應顯示出較高的變異性,而不是較低的變異性。所蝕刻的圖案85的平均深度增加到約為2800埃至2900埃,1ο統計偏差減少為44至69。此結果表示可以改進進行基線製造中基底102上不同區域144、146的圖案的較低的平均傾斜角以及較高的傾斜角變異範圍。控制磁場以調整圖案尺寸從基底102上不同區域144、146所測得的製造監測信號亦可用來控制不同區域的圖案85的製造,其可將製造區112的不同區塊136、138的磁場強度設定成不同水平或是多重的強度水平。具有磁場產生器170時,腔室控制裝置300包括一磁場控制指令集392,以控制腔室104中局部製造區塊136、138的磁場強度。例如,磁場強度控制指令集392可提供一指令給磁場產生器170,以產生一磁場,其在製造區塊136、138的磁場強度為第一磁場強度和第二磁場強度,以使基底102的第一和第二區域144、146暴露在強度不同的磁場中。製造反饋控制指令集388可評估製造監測指令集384,並送出一指令給磁場控制指令集392,以操作磁場產生器170,依據所評估的信號設定不同的磁場強度。可調變的磁場強度可用來控制基底102其不同區域144、146的電漿鞘的密度,並施加一旋轉或可變的磁場以激化電漿離子,或維持電漿並減少散布的電漿進入到排出口158之中。磁場強度可獨立控制,以調整基底102其不同區域的磁場,使其具有特定的強度。然而,在一製造中,若是兩個磁場強度之間的差為一定值,磁場產生器170可採用製造處方,將腔室104中兩個不同區域設定成兩個定值的磁場強度。例如在基底102的中心區域144設定成一第一定值的磁場強度;將基底102的周邊區域146設定成第二定值的磁場強度。以不同的磁場強度來控制基底102的不同區域144、146所通過的電漿物種或其運動,可控制不同區域的製造特性。例如,為符合基底102的中心和周邊區域144、146上被蝕刻的圖案85的特性,可在基底102上徑向的第二周邊區域146上施加第一磁場強度;而在基底102的第一中心區域144施加第二磁場強度,其中第一磁場強度高於第二磁場強度,例如是至少約高出20%,甚至40%。調整施加在磁場產生器170的電流的頻率等等,可設定不同區域144、146的磁場強度,以在內部區域的外圍的外部區域146上提供較多的激化電漿離子。一種位在腔室104a上的磁場產生器170的變化例,例如是一美國加州的美商應材的MxP+或eMax型腔室,如圖IF和IG所示。磁場產生器170可在腔室104a的製造區112產生一可控制的磁場。磁場產生器可包括永久磁場或電磁,如1989年6月27日申請的美國專利第4,842,683號所述的實例,此專利的內容併入本案參考。在一實施例中,如圖IF所示,磁場產生器170包括一同心電磁對組件202a-h,在其產生一個與基底102的平面平行的旋轉磁場時,可控制半徑空間的電漿的分布密度。旋轉磁場具有一角度方向,且其大小隨著時間而改變,且是電磁202a-h所產生的磁場的向量和。電磁對200a、200b包括兩個電磁200a、200b,其是同心共面,可產生一個具有中心磁場強度和周邊的磁場強度的磁場。同心電磁202a-h是設置在腔室104a附近,且是以電磁電源204供應電源,其是分別供應電源給電磁202a-h,並且可藉由腔室控制裝置300來調整施加在電磁202a_h上的電流,以分別獨立控制中心和周邊的磁場強度。電磁電源204亦可將能量供給電磁對,以產生旋轉且多方向的磁場。電磁200a_h的位置,是設置在可以在腔室104a的不同蝕刻區塊136、138中產生第一和第二磁場強度向量Bb、Bc的位置上。磁場強度向量Bb、Bc具有彼此垂直的兩個磁向量Bx、By,其與基底的承載表面116系大致平行,如美國專利第5,215,619號所揭露者,其內容併入本案參考。電磁電源204具有數個公知的電磁電源系統202a-h,以依據腔室控制裝置300所提供的指令來控制施加在電磁200a-h的電流的大小和方向。相關的電流決定了線圈所產生的磁場的方向和大小。或者,腔室控制裝置300可以控制被定位在電樞中的鐵電材料的一組永久磁鐵的振蕩運動,此電樞可以圓形/橢圓形形式被轉動,或者在線性的方向震蕩。電磁場產生器170產生的互相垂直的磁場向量By與Bx,可由函數Bx=Bcosθ,By=Bsinθ來定義。給定磁場B的值以及他的角度方向θ,便可以從此方程式解出相關聯的磁場向量By與Βχ,以在每一個蝕刻區塊136、138提供所需要的場強度與方向。而且,旋轉磁場的角度方向和大小,可以藉由電磁200a_h或是磁鐵的旋轉裝置的改變而快速或慢速地改變。腔室控制裝置300可隨著時間改變,使其磁場在各個角度的方向上、角度漸增函數的方向上、磁場強度上。因此,可以以所選擇方向和時間的增量來將磁場環繞在基底102周圍。如有需要,若是製造的條件或是腔室的構造需要定值的磁場強度,磁場Bb的大小可予以改變。較佳的是,磁場是以2至5秒/轉的緩慢速率旋轉,其可藉由依序改變電磁200a_h的電流或是旋轉永久磁場來使其旋轉。這種以緩慢的速度將多階段的磁場施加在基底102的不同區域144、146上可增加整個基底102的均勻度,而不是僅增加單一方向的基底102的均勻度。基底上旋轉的磁場可增加基底102的帶電電漿物種的循環和激化的程度。圖9表示在一蝕刻製造磁場產器所施加的磁場強度和基底102的中心區域144與周邊區域146的蝕刻率的關係圖。曲線197(方形線)表示周邊區域146在不同的磁場強度下的蝕刻率;曲線(菱形線)表示中心區域144的蝕刻率。在未施加磁場時,位於中心的圖案的蝕刻率高於基底102周邊處的蝕刻率。然而,隨著磁場強度的增加,在約為10高斯時,周邊的蝕刻率將會高於中心的蝕刻率。在約為27高斯時,中心蝕刻率具有一局部最大值,在約為42高斯時,周邊蝕刻率具有一局部最大值,且在中心蝕刻率和周邊蝕刻率之間具有一局部最大的不均勻。當兩條曲線交叉在磁場強度為10高斯時,具有較佳的蝕刻均勻度。此圖顯示圖案85可以藉由磁場產生器170所產生的可控制的磁場來加以控制。或者,請參照圖1G,在控制電漿在半徑空間的密度分布時,電磁200i、200j可以設置在可以使得所產生的磁場大致與基底102的平面垂直的位置上。典型的磁場產生器170,可在基底102周邊或周邊的外產生高強度的磁場。如圖IG所示,當離子201試圖以徑向向外的速度離開限制的區域時,增加磁場將產生一個ExB力,而使離子繞行再拉回到限制的區域。磁場產生器170可包括一個或多個電磁200i、200j,其大致呈徑向對稱,設置在製造腔室104上。例如,磁場可以徑向同心設置在相同或不同的平面上。磁場產生電源供應裝置202i、202j可由腔室控制裝置300來獨立控制。這些電流可控制成各種的大小或方向,以產生一磁場,使電漿在徑向空間的密度分布具有所期望的形狀。腔室控制裝置300,可依據一密閉反饋電路的製造監測器180的資料來調整電源供應裝置202i、202j,以產生一個具有所需向量的磁場圖形的磁場。例如,腔室控制裝置300可在一開始時產生一個預定的磁場,其是用來產生一可靠的電漿密度分布。製造監測器180將反饋資料傳給腔室控制裝置300,以半徑函數顯示製造的屬性。製造監測器180可以以半徑函數顯示整個基底的製造屬性,腔室控制裝置300可以藉由維持靜止狀態的磁場來響應。或者,製造監測器180可顯示出製造屬性是偏離了預先選擇的所需圖案,並且腔室控制裝置300可調整電源供應裝置202i、202j,以修正偏離的情形。腔室控制裝置300可由需要的狀態來過度調整將來的磁場,以補償過去的偏差,進而在經過一段所需要的時間後得到整合屬性的圖形。例如,在蝕刻基底102時,期望可以在蝕刻製造結束時得到預期的半徑淨蝕刻分布(radialnetetchdistribution)。若是製造有暫時偏離所期望的分布時,腔室控制裝置300會立刻調整磁場進行實時補償,以暫時產生一個與所期望的分布相反的結果。例如,有一段時間發生偏離,則可在在腔室控制裝置300將磁場控制回所需的靜止狀態之前,在同樣的一段時間裡使其產生相反的偏離來進行修正,或者,較佳的可以在更短的時間內使其產生明顯的相反的偏離以進行修正。請參照圖1G,在一實施例中,磁場產生裝置170包括兩個同心電磁200i、200j。依照施加在這一些電磁200i、200j的電流的大小和方向,磁場強度將垂直於基底102的表面。圖8A-8C系繪示三種磁場強度的曲線實例,其磁場強度為基底的半徑的函數。圖8A表示一種電流僅通過外電磁200i,而沒有通過內電磁200j的磁場強度。製造氣體通常存在於曲線的「谷底」處,其二次微分為正值。例如,在此實施例中,電漿存在於基底102的中心區域136上方的碟形區中。圖8B表示一種電流以同方向同時通過外電磁200i和內電磁200j的磁場強度。此例中,電漿存在於基底102的周邊區域138上方的窄圓環中以及基底102的中心區域136的碟形區中。圖8C表示電流以反方向同時通過外電磁200i和內電磁200j的磁場強度。在此例中,電漿存在於基底102的周邊區域138上方的寬圓環中。因此,電漿的徑向密度分布可藉由磁場強度(其為半徑的函數)的控制來加以控制。請參照圖1B,腔室控制裝置300可調整氣體配管134和磁場產生裝置170,以產生一所需的電漿徑向分布和溢流圖形(overallflowpattern)。例如腔室控制裝置300可包括一查詢表394,如圖2B所示,其依據所設定的氣體流率以及電磁電流來表示,以有效朔造電漿流和電漿分布。查詢表394也可依照現場的電漿狀態來表示,以達成電漿所需的磁場狀態。在一實例中,可能需要立刻改變電漿流,使其由一現有的磁場狀態改變成所需的磁場狀態,但是,亦可能需要控制排出節流閥(exhaustthrottlevalve)163和氣體配管134以達成所需的磁場狀態,腔室控制裝置300亦可以快速改變在製造區112的磁場,以重新調整電漿分布,更快速達到所需的磁場狀態,並且減少製造氣體的消耗。控制氣體增能電源的水平以調整圖案的尺寸腔室控制裝置300亦可包括一可程序化編碼,其包括一氣體增能器控制指令集380,以控制腔室104的局部製造區中的感應磁場。例如,氣體增能器控制指令集380可提供指令給天線174的不同線圈179a、179b,以產生一感應磁場,此感應磁場在基底102的第一區域144和第二區域146上方分別具有一可控制的第一強度和第二強度。製造反饋控制指令集388會評估由製造監測指令集384所發出的信號,並送出一指令給氣體增能器控制指令集380,以分別操作天線174的線圈179a、179b,並依據信號設定不同的磁場強度。各感應的磁場強度可獨立控制,使得基底的該區可以調整到所需的特定強度值。此外,氣體增能器控制指令集380亦可採用一製造處方,使天線174調整到一信號電源水平,以在基底102上產生所需的感應磁場強度,使基底102的圖案85的蝕刻較均勻或一致。以下實例顯示在圖IC所示的DPS形腔室中,在天線174的線圈179a、179b所施加的電流的電源水平的結果。圖10表示在天線上施加不同水平的電源時,基底102的中心區域144到周邊區域146的圖案蝕刻率的改變情形。當電源為800瓦時,圖案的蝕刻率為4500-6000埃/分鐘;當電源變小到550瓦時,圖案的蝕刻率則變小為5000-5500埃/分鐘。圖案蝕刻率的變異由△1500減少到△500埃/分鐘,其結果表示蝕刻率的變異減少三倍。因此,在基底102的中心區域136和周邊區域138設定特定的或是不同的電源水平,可增進整個基底102上的圖案85的蝕刻均勻度。控制基底區域的溫度以調整圖案的製造一種變化是,以腔室控制裝置操作腔室,以使基底其不同的區域維持不同的溫度。例如,腔室可具有輻射加熱組件(未繪示),例如是遠紅外線燈源或電阻線,其可設置在同心圓中或是基底支座114的正上方或正下方。各組同心燈源或電阻線的線圈可單獨供電,以控制其溫度。因此,腔室控制裝置可在各製造區塊中產生不同的溫度。例如,在同心的製造區中可以產生環狀的溫度範圍以控制暴露在不同區的圖案85的製造速率。一種變化是,支座114具有多個溫度控制區。例如支座14可具有兩個同心區,其可接收並維持基底102背部的徑向內部區域和外部區域的熱傳送氣體。例如,如圖IH和II所示,基座的114的承載表面116可至少包括一氣體輸入口115和一氣體排出口117,其中氣體輸入口115可將熱輸送到基底102下方;氣體排出口117可將氣體排出或再利用。一種變化如圖IH和II所示,其氣體排出口117位於基座114的中心處;氣體輸入口115其包括多個輸入口115,系與氣體排出口117同心。氣體輸入口115可將所熱傳送氣體通入基底102的背部和支座114的承載表面116之間的空間之中。氣體輸入口115所供應的熱傳送氣體例如是非反應性氣體如氦氣和氮氣。氣體輸入115中所通入的熱傳送氣體流經承載表面116,在經由最小的流動阻力的路徑流到氣體排出口117。熱傳送氣體所經過的路徑的流體力學流阻(hydrodynamicflowresistance),決定了路徑末端的各區125a、125b的熱傳送氣體的壓力的差異性。氣體輸入口115和氣體排出口117之間的流動阻力可由一非密封的突起物119來加以控制。突起物119系環繞並且至少是部份環繞在氣體輸入口115(未繪示)或是氣體排出口117(如所示)的周圍,以作為氣體阻障(gasbarrier),藉以阻擋或減少氣體輸入口115和氣體排出口117之間氣體的流動。非密封突起物119不會與下層基底102形成一不透水或不透氣的密封結構。非密封的突起物119的形狀系選自於可以使得流體所通過的承載表面116的區域具有所需的流動阻力者。流體所通過的承載表面116的區域的流動阻力增加,將導致該區域125b的氣體壓力增加,並減少區域125a的氣體壓力。氣體壓力較大,基底102的熱傳送速率較高;氣體壓力較小,則熱傳送速率較低。支座114亦可包括一密封的突起物123,其是在環繞在基底102的周邊區下方的支座114的周邊,其和基底102接觸,並且與基底102形成一大體上不透氣的密封物,以減少熱傳送氣體在送入腔室104時漏氣的現象。或者,亦可監測這兩個區域的基底背部的溫度,並且可以恆溫裝置(未繪示)來調整熱傳送氣體的流率,以使基底背部達成所需的溫度分布。雖然本發明以較佳的變化詳細說明如上,然而,亦可能還有其它的變化。例如,本發明的裝置可用於其它的腔室中或其它的製造中,例如是在基底102上形成圖案85的沉積製造。因此,本發明的申請專利範圍不限定於上述較佳變化的內容。雖然本發明已以較佳實施例揭露如上,然其並非用以限定本發明,任何本領域技術人員,在不脫離本發明的精神和範圍內,當可作些許的更動與潤飾,因此本發明的保護範圍當視後附的權利要求為準。權利要求1.一種基底製造裝置,其特徵在於,包括(a)一個製造腔室,包括(i)一個基底支座,用以承載一個基底,該基底具有一個第一區域和一個第二區域;一個氣體配管,用以將一氣體導入該製造腔室中;(iii)一個氣體增能器,以使該氣體增能,以便在該基底上形成多個圖案;(iv)一個氣體排出口,用以排出該氣體;(b)一個製造監測器,用以(i)監測該基底的該第一區域上所形成的具有間隔開且分離開的多個圖案的圖形的尺寸,並產生一個第一信號;監測該基底的該第二區域上所形成的具有間隔開且分離開的多個圖案的圖形的尺寸,並產生一個第二信號;(c)一個腔室控制裝置,用以接收該第一信號與該第二信號,並操作該基底支座、該氣體配管、該氣體增能器或該氣體排出口,以設定多個製造參數,其中多個製造參數包括一個或多個氣體流率、氣體壓力、氣體增能電源的水平以及基底溫度,以對多個第一區域與第二區域的圖案進行製造,補償形成在多個第一和第二區域上的圖案尺寸上的差異。2.如權利要求1所述的基底製造裝置,其特徵在於所述腔室控制裝置中具有程序化編碼,以選擇並設定多個製造參數,以一個第一製造速率處理該第一區域的多個圖案,並同時以一個第二製造速率處理該第二區域的多個圖案,以在製造結束時,該第一區域的多個圖案的尺寸與該第二區域的多個圖案的尺寸大致相同。3.如權利要求1所述的基底製造裝置,其特徵在於,所述製造腔室包括一個第一製造區塊,位於該基底的該第一區域上方;一個第二製造區塊,位於該基底的該第二區域上方;其中該製造控制裝置中具有一可程序化編碼,以選擇並設定一製造參數,該製造參數在該第一製造區塊上設定在一個可控制的第一水平,並在該第二製造區塊上設定在一個可控制的第二水平。4.如權利要求1所述的基底製造裝置,其特徵在於,所述腔室控制裝置中具有一可程序化編碼,用以(1)將該製造參數設定在該可控制的第一水平,並與該第一信號的大小成比例,並將該製造參數設定在該可控制的第二水平,並與該第二信號的大小成比例;(2)操作該氣體配管,以設定一氣體流率,該氣體流率在該第一製造區塊上設定一第一流率,並在該第二製造區塊上設定一第二流率;(3)操作該氣體增能器,以設定一氣體增能電源水平,該氣體增能電源水平在該第一城區塊設定一第一電源水平,並在該第二製造區塊上設定一第二電源水平;或(4)操作位在該製造腔室上方的一個磁場產生器,以設定一可控制的磁場強度,該可控制的磁場強度在該第一製造區塊設定一第一磁場強度,並在該第二製造區塊設定一第二磁場強度。5.如權利要求1所述的基底製造裝置,其特徵在於所述腔室控制裝置中具有程序化編碼,所述具有程序化編碼的腔室控制裝置是依據該第一信號和該第二信號,以由該製程製造控制裝置的一內存中所儲存的一查詢表的多個製造處方中選擇一製程製造處方。6.如權利要求1所述的基底製造裝置,其特徵在於,所述腔室控制裝置中具有一可程序化編碼,以改變該製造腔室的該製造參數,使該製造參數從處理一初始基底的初始製造參數改變為處理一批次基底的批次製造參數,其中該批次基底與該初始基底具有相似的特性。7.如權利要求1所述的基底製造裝置,其特徵在於,其中形成在該基底的多個圖案包括一主方向,所述製造監測器包括一個第一幹涉儀,用以探測該基底的該第一區域所形成的多個圖案的反射光,以產生該第一信號;一個第二幹涉儀,用以探測該基底的該第二區域所形成的多個圖案的反射光,以產生該第二信號。8.一種基底的製造方法,其特徵在於,包括以下步驟(a)將一基底置於一製造腔室的一製造區中,該基底具有一個第一區域和第二區域;(b)在該製造區中通入一製造氣體;(c)將該製造氣體增能,以在該基底上形成具有間隔開且分離開的多個圖案的圖形;(d)排出該製造氣體;(e)監測該基底的該第一區域上所形成的具有間隔開且分離開的多個圖案的圖形的尺寸,並產生一個第一信號;(f)監測該基底的該第二區域上所形成的具有間隔開且分離開的多個圖案的圖形的尺寸,並產生一個第二信號;(g)評估該第一和第二信號,並設定該製造區的多個製造參數,以處理該第一區域和該第二區域的多個圖案,補償多個圖案的尺寸的差異性,其中該製造參數包括一個或多個氣體流率、氣體壓力、氣體增能電源的水平以及基底溫度。9.如權利要求8所述的基底的製造方法,其特徵在於包括設定在該製造區的該製造參數,以一第一製造速率處理該第一區域的多個圖案,並同時以一個第二製造速率處理該第二區域的多個圖案,以在製造結束時,該第一區域的多個圖案的尺寸與該第二區域的多個圖案的尺寸大致相同。10.如權利要求8所述的基底的製造方法,其特徵在於,所述製造區包括一個第一製造區塊,位於該基底的該第一區域上方;一個第二製造區塊,位於該基底的該第二區域上方;且該方法包括設定一個製造參數,該製造參數在該第一製造區塊上設定一可控制的第一水平,並在該第二製造區塊上設定一可控制的第二水平。11.如權利要求8所述的基底的製造方法,其特徵在於,包括改變該製造腔室的該製造參數,使該製造參數從處理一初始基底的初始製造參數改變為處理一批次基底的批次製造參數,其中該批次基底與該初始基底具有相似的特性。12.如權利要求8所述的基底的製造方法,其特徵在於,包括探測該基底的該第一區域的反射光,以產生該第一信號;探測該基底的該第二區域的反射光,以產生該第二信號。13.一種基底的製造方法,其特徵在於,包括以下步驟(a)將一基底置於一製造腔室的一製造區中,該基底具有一個第一區域和第二區域;(b)在該製造區中通入一製造氣體;(C)將該製造氣體增能,以在該基底上形成具有間隔開且分離開的多個圖案的圖形;(d)排出該製造氣體;(e)監測該基底的該第一區域上所形成的具有間隔開且分離開的多個圖案的圖形的尺寸,並產生一個第一信號;(f)監測該基底的該第二區域上所形成的具有間隔開且分離開的多個圖案的圖形的尺寸,並產生一個第二信號;(g)評估該第一和第二信號,並設定該製造區的多個製造參數,以處理該第一區域和該第二區域的多個圖案,補償多個圖案的尺寸的差異性,其中該製造參數包括一個或多個氣體流率、氣體壓力、氣體增能電源的水平以及基底溫度,其中所述製造區包括一個第一製造區塊,位於該基底的該第一區域上方;一個第二製造區塊,位於該基底的該第二區域上方;且該方法包括設定一個製造參數,該製造參數在該第一製造區塊上設定一可控制的第一水平,並在該第二製造區塊上設定一可控制的第二水平;並且,至少包括下列其中之一(1)將該製造參數設定在該可控制的第一水平,並與該第一信號的大小成比例,並將該製造參數設定在該可控制的第二水平,並與該第二信號的大小成比例;(2)設定一氣體流率,該氣體流率在該第一製造區塊上設定一第一流率,並在該第二製造區塊上設定一第二流率;(3)設定一氣體增能電源水平,該氣體增能電源水平在該第一製造區塊設定一第一電源水平,並在該第二製造區塊上設定一第二電源水平;或(4)設定一可控制的磁場強度,該可控制的磁場強度在該第一製造區塊上設定一第一磁場強度,並在該第二製造區塊上設定一第二場強度。14.一種蝕刻基底的裝置,其特徵在於,包括(a)一個蝕刻腔室,包括(i)一個基底支座,用以承載一個基底,該基底具有一中心區域和一周邊區域,其中該中心區域暴露於該蝕刻腔室的一個第一製造區塊中,該周邊區域暴露於該蝕刻腔室的一個第二製造區塊中;一個氣體配管,用以將一氣體導入該製造腔室中;(iii)一個氣體增能器,以使該氣體增能,以蝕刻該基底上的多個圖案;(iv)一個氣體排出口,用以排出該氣體;(b)一個第一光監測裝置,用以監測該基底的該中心區域上所形成的多個被蝕刻的圖案,並產生一個與所測量的該圖案的尺寸成比例的第一信號;(c)一個第二光監測裝置,用以監測該基底的該周邊區域上所形成的多個被蝕刻的圖案,並產生一個與所測量的該圖案的尺寸成比例的第二信號;(d)一個腔室控制裝置,用以接收並評估該第一信號與該第二信號,並操作該蝕刻腔室,以(i)將該第一製造區塊上的一個製造參數設定在一可控制的第一水平,該第一水平依照該第一信號來選擇;(ii)將該第二製造區塊上的該製造參數設定在一可控制的第二水平,該第二水平依照該第二信號來選擇,藉以分別監測和控制該基底的該中心區域和該周邊區域上的多個被蝕刻的圖案的尺寸。15.一種蝕刻基底的方法,其特徵在於,包括以下步驟(a)將一基底置於一製造腔室的一製造區中,該基底具有一中心區域和一周邊區域,其中該中心區域暴露於該製造腔室的一個第一製造區塊中,該周邊區域暴露於該製造腔室的一個第二製造區塊中;(b)在該製造區中通入一蝕刻氣體;(c)使該蝕刻氣體增能,以蝕刻該基底上的多個圖案;(d)排出該蝕刻氣體;(e)監測該基底的該中心區域上所形成的多個被蝕刻的圖案的反射光,並產生一個與該圖案的關鍵尺寸成比例的第一信號;(f)監測該基底的該周邊區域上所形成的多個被蝕刻的圖案的反射光,並產生一個與該圖案的關鍵尺寸成比例的第二信號;(g)評估該第一信號與該第二信號,並操作該製造腔室,以(i)將該第一製造區塊上的一製造參數設定在一可控制的第一水平,該第一水平依照該第一信號來選擇;將該第二製造區塊上的該製造參數設定在一可控制的第二水平,該第二水平依照該第二信號來選擇,藉以分別監測和控制該基底的該中心區域和該周邊區域上的多個圖案的關鍵尺寸。16.一種蝕刻基底的裝置,其特徵在於,包括(a)一腔室,包括(i)一個基底支座,用以承載一基底,該基底具有一個第一區域和一個第二區域;一個氣體配管,用以將一蝕刻氣體導入該腔室中;(iii)一個氣體增能器,使該蝕刻氣體增能,以蝕刻該基底上的多個圖案;(iv)一個氣體排出口,用以排出該蝕刻氣體;(b)一個第一光監測裝置,用以監測該基底的該第一區域中的圖案所反射的光,並產生一個與所測量的該圖案的尺寸成比例的一個第一信號;(c)一個第二光監測裝置,用以監測該基底的該第二區域中的圖案所反射的光,並產生一個與所測量的該圖案的尺寸成比例的一第二信號;(d)一個腔室控制裝置,用以(i)評估該第一信號與該第二信號,並依據該第一信號和該第二信號選擇一個蝕刻製造處方;依據該蝕刻製造處方操作該腔室;藉以分別監測和控制該第一區域和該第二區域上的被蝕刻的圖案。17.如權利要求16所述的蝕刻基底的裝置,其特徵在於,其中該腔室控制裝置適於選擇一製造處方,該製造處方包括一個第一水平的一製造參數,適用於該基底的第一區域上方的一個第一製造區塊上;一個第二水平的該製造參數,適用於該基底的第二區域上方的的一個第二製造區塊上。18.如權利要求16所述的蝕刻基底的裝置,其特徵在於,所述腔室控制裝置包括一內存,該內存有一查詢表,該查詢表包括多數個製造處方,各製造處方與該第一信號和該第二信號對有關或是與該第一信號和該第二信號對的數學運算有關。19.一種蝕刻基底的方法,其特徵在於包括以下步驟(a)將一基底置於一腔室的一製造區中,該基底具有一個第一區域與個一第二區域;(b)在該製造區中通入一蝕刻氣體;(c)使該蝕刻氣體增能,以蝕刻該基底上的多個圖案;(d)排出該蝕刻氣體;(e)監測該基底的該第一區域的多個圖案,並產生一第一信號;(f)監測該基底的該第二區域上的多個圖案,並產生一第二信號;(g)評估該第一信號與該第二信號,並依據該第一信號和該第二信號來選擇一蝕刻製造處方;(h)依據該蝕刻製造處方設定該腔室的多個製造參數;藉以分別監測和控制該第一區域和該第二區域上的多個圖案的蝕刻。20.如權利要求19所述的蝕刻基底的方法,其特徵在於,其中所選擇的製造處方包括(i)一第一水平的一製造參數,適用於該基底的第一區域上方的一個第一製造區塊上;一第二水平的該製造參數,適用於該基底的第二區域上方的一第二製造區塊上。21.如權利要求19所述的蝕刻基底的方法,其特徵在於,所述製造處方選自一查詢表,該查詢表包括多個製造處方,各製造處方與該第一信號和該第二信號對有關或是與該第一信號和該第二信號對的數學運算有關。全文摘要本發明公開了一種基底製造裝置,其包括一個製造腔室,此製造腔室具有一個基底支座、一個氣體配管、一個氣體增能器、一個氣體排出口。此裝置亦具有一個製造監測器,是用來監測基底的第一區域上的圖案,並產生一個第一信號,還用來監測基底的第二區域上的圖案,並產生一個第二信號。此裝置還包括一個腔室控制裝置,是用來接收並評估該第一信號與該第二信號,並依據該第一信號和該第二信號操作腔室。例如,腔室控制裝置可依據這些信號值選擇一個製造處方(processrecipe)。腔室控制裝置亦可設定一個製造參數,其是將製造腔室的第一製造區塊設定在第一水平,而將製造腔室的第二製造區塊設定在第二水平。此裝置可提供一個密閉控制迴路以分別監測、控制基底不同區域上的圖案所進行的製造。文檔編號H01L21/66GK102223765SQ201110092338公開日2011年10月19日申請日期2004年8月20日優先權日2003年8月21日發明者丹·麥登,布瑞恩·Y·普,黛安娜·瑪莉黛歐並,摩喜特·傑恩,杉宏清,約翰·後蘭,蘇立範,阿修克·K·信哈,韓森·E·奈爾,麥可·D·阿馬寇斯特,麥可·巴訥斯申請人:應用材料有限公司

同类文章

一種新型多功能組合攝影箱的製作方法

一種新型多功能組合攝影箱的製作方法【專利摘要】本實用新型公開了一種新型多功能組合攝影箱,包括敞開式箱體和前攝影蓋,在箱體頂部設有移動式光源盒,在箱體底部設有LED脫影板,LED脫影板放置在底板上;移動式光源盒包括上蓋,上蓋內設有光源,上蓋部設有磨沙透光片,磨沙透光片將光源封閉在上蓋內;所述LED脫影

壓縮模式圖樣重疊檢測方法與裝置與流程

本發明涉及通信領域,特別涉及一種壓縮模式圖樣重疊檢測方法與裝置。背景技術:在寬帶碼分多址(WCDMA,WidebandCodeDivisionMultipleAccess)系統頻分復用(FDD,FrequencyDivisionDuplex)模式下,為了進行異頻硬切換、FDD到時分復用(TDD,Ti

個性化檯曆的製作方法

專利名稱::個性化檯曆的製作方法技術領域::本實用新型涉及一種檯曆,尤其涉及一種既顯示月曆、又能插入照片的個性化檯曆,屬於生活文化藝術用品領域。背景技術::公知的立式檯曆每頁皆由月曆和畫面兩部分構成,這兩部分都是事先印刷好,固定而不能更換的。畫面或為風景,或為模特、明星。功能單一局限性較大。特別是畫

一種實現縮放的視頻解碼方法

專利名稱:一種實現縮放的視頻解碼方法技術領域:本發明涉及視頻信號處理領域,特別是一種實現縮放的視頻解碼方法。背景技術: Mpeg標準是由運動圖像專家組(Moving Picture Expert Group,MPEG)開發的用於視頻和音頻壓縮的一系列演進的標準。按照Mpeg標準,視頻圖像壓縮編碼後包

基於加熱模壓的纖維增強PBT複合材料成型工藝的製作方法

本發明涉及一種基於加熱模壓的纖維增強pbt複合材料成型工藝。背景技術:熱塑性複合材料與傳統熱固性複合材料相比其具有較好的韌性和抗衝擊性能,此外其還具有可回收利用等優點。熱塑性塑料在液態時流動能力差,使得其與纖維結合浸潤困難。環狀對苯二甲酸丁二醇酯(cbt)是一種環狀預聚物,該材料力學性能差不適合做纖

一種pe滾塑儲槽的製作方法

專利名稱:一種pe滾塑儲槽的製作方法技術領域:一種PE滾塑儲槽一、 技術領域 本實用新型涉及一種PE滾塑儲槽,主要用於化工、染料、醫藥、農藥、冶金、稀土、機械、電子、電力、環保、紡織、釀造、釀造、食品、給水、排水等行業儲存液體使用。二、 背景技術 目前,化工液體耐腐蝕貯運設備,普遍使用傳統的玻璃鋼容

釘的製作方法

專利名稱:釘的製作方法技術領域:本實用新型涉及一種釘,尤其涉及一種可提供方便拔除的鐵(鋼)釘。背景技術:考慮到廢木材回收後再加工利用作業的方便性與安全性,根據環保規定,廢木材的回收是必須將釘於廢木材上的鐵(鋼)釘拔除。如圖1、圖2所示,目前用以釘入木材的鐵(鋼)釘10主要是在一釘體11的一端形成一尖

直流氧噴裝置的製作方法

專利名稱:直流氧噴裝置的製作方法技術領域:本實用新型涉及ー種醫療器械,具體地說是ー種直流氧噴裝置。背景技術:臨床上的放療過程極易造成患者的局部皮膚損傷和炎症,被稱為「放射性皮炎」。目前對於放射性皮炎的主要治療措施是塗抹藥膏,而放射性皮炎患者多伴有局部疼痛,對於止痛,多是通過ロ服或靜脈注射進行止痛治療

新型熱網閥門操作手輪的製作方法

專利名稱:新型熱網閥門操作手輪的製作方法技術領域:新型熱網閥門操作手輪技術領域:本實用新型涉及一種新型熱網閥門操作手輪,屬於機械領域。背景技術::閥門作為流體控制裝置應用廣泛,手輪傳動的閥門使用比例佔90%以上。國家標準中提及手輪所起作用為傳動功能,不作為閥門的運輸、起吊裝置,不承受軸向力。現有閥門

用來自動讀取管狀容器所載識別碼的裝置的製作方法

專利名稱:用來自動讀取管狀容器所載識別碼的裝置的製作方法背景技術:1-本發明所屬領域本發明涉及一種用來自動讀取管狀容器所載識別碼的裝置,其中的管狀容器被放在循環於配送鏈上的文檔匣或託架裝置中。本發明特別適用於,然而並非僅僅專用於,對引入自動分析系統的血液樣本試管之類的自動識別。本發明還涉及專為實現讀