新四季網

集成電路和製造集成電路的計算機實現方法與流程

2023-04-26 03:00:56


本申請要求於2016年2月11日提交的第10-2016-0015820號韓國專利申請、於2016年8月5日提交的第10-2016-0100122號韓國專利申請和於2017年1月31日提交的第15/420,514號美國專利申請的優先權,該韓國專利申請的公開通過引用全部包含於此。

發明構思的示例性實施例涉及一種集成電路,更具體地,涉及包括一種包括氣隙層的集成電路以及製造該集成電路的計算機實現方法。



背景技術:

隨著半導體工藝技術的改進,工藝正在變得越來越精細。結果,寄生電容可以隨著導電圖案之間的間隔的減小而增加。為了減小寄生電容,正在實施其中氣隙圖案位於導電圖案之間的氣隙技術。因為空氣具有小的介電常數,所以可以通過氣隙圖案減小寄生電容,並且可以提高半導體晶片的操作速度。



技術實現要素:

根據發明構思的示例性實施例,製造集成電路的計算機實現方法包括:對限定集成電路的多個標準單元進行布局;從包括在布局的標準單元中的多個時序路徑之中選擇時序關鍵路徑;從包括在時序關鍵路徑中的多條線之中選擇至少一條線作為至少一條時序關鍵線;用氣隙層對至少一條時序關鍵線進行預布線;對未選擇的線進行布線;使用預布線的至少一條時序關鍵線和布線的未選擇的線絡來生成布圖;基於布圖製造集成電路。

根據發明構思的示例性實施例,集成電路包括:第一導電圖案,在第一方向上延伸;第二導電圖案,在與第一方向不同的第二方向上延伸;第一通孔,電連接第一導電圖案和第二導電圖案;以及第一氣隙圖案至第四氣隙圖案。第一氣隙圖案在第一方向上延伸並設置在第一導電圖案的第一側上。第二氣隙圖案在第一方向上延伸並設置在第一導電圖案的第二側上。第一導電圖案的第一側與第一導電圖案的第二側相對。第三氣隙圖案在第二方向上延伸並且設置在第二導電圖案的第一側上。第四氣隙圖案在第二方向上延伸並設置在第二導電圖案的第二側上。第二導電圖案的第一側與第二導電圖案的第二側相對。

根據發明構思的示例性實施例,集成電路包括:第一導電圖案,在第一方向上延伸;第二導電圖案,在與第一方向不同的第二方向上延伸;第一通孔,電連接第一導電圖案和第二導電圖案;第一氣隙圖案,在第一方向上延伸並設置在第一導電圖案的第一側上;第二氣隙圖案,在第二方向上延伸並設置在第二導電圖案的第一側上。

根據發明構思的示例性實施例,集成電路包括:第一導電圖案,在第一方向上延伸;第二導電圖案,在與第一方向不同的第二方向上延伸;第三導電圖案,在第一方向上延伸;以及第一氣隙圖案至第三氣隙圖案。第一氣隙圖案在第一方向上延伸並設置在第一導電圖案的第一側上。第二氣隙圖案在第二方向上延伸並設置在第二導電圖案的第一側上。第三氣隙圖案在第一方向上延伸並設置在第三導電圖案的第一側上。第一導電圖案、第二導電圖案、第三導電圖案、第一氣隙圖案、第二氣隙圖案和第三氣隙圖案設置在同一層中。

根據發明構思的示例性實施例,限定集成電路的標準單元包括:第一有源區;第二有源區;多個鰭,在第一方向上延伸;多條第一金屬線,在與第一方向交叉的第二方向上延伸;第二金屬線,在第一方向上延伸。多條第一金屬線和第二金屬線設置在第一有源區和第二有源區之間。標準單元還包括在第二方向上延伸並設置在多條第一金屬線之間的多個第一氣隙圖案,以及在第一方向上延伸並設置在第二金屬線的第一側上的第二氣隙圖案。

附圖說明

通過參照附圖詳細地描述本發明構思的示例性實施例,本發明構思的以上和其它特徵將變得更加明顯,在附圖中:

圖1是示出根據發明構思的示例性實施例的製造集成電路的方法的流程圖。

圖2a和圖2b是分別示出根據本發明構思的示例性實施例的包括氣隙層的集成電路的剖視圖。

圖3和圖4示出了根據發明構思的示例性實施例的集成電路設計系統。

圖5是示出根據發明構思的示例性實施例的設計集成電路的方法的流程圖。

圖6是示出根據發明構思的示例性實施例的設計集成電路的方法的流程圖。

圖7是描繪根據發明構思的示例性實施例的時序分析結果的曲線圖。

圖8示出了根據發明構思的示例性實施例的使用氣隙層進行布線(route)的布線結構。

圖9a至9e是示出根據發明構思的示例性實施例的用氣隙層進行布線的時序關鍵線(timingcriticalnet)的透視圖。

圖10示出了根據發明構思的示例性實施例的使用正常層進行布線的布線結構。

圖11a是示出根據發明構思的示例性實施例的通過應用氣隙圖案進行布線的集成電路的平面圖。

圖11b是示出根據發明構思的示例性實施例的圖11的集成電路的透視圖。

圖12a是示出根據發明構思的示例性實施例的通過應用氣隙圖案進行布線的集成電路的平面圖。

圖12b是示出根據發明構思的示例性實施例的圖12a的集成電路的透視圖。

圖13a是示出根據發明構思的示例性實施例的通過應用氣隙圖案進行布線的集成電路的平面圖。

圖13b是示出根據發明構思的示例性實施例的圖13a的集成電路的透視圖。

圖14a是示出根據發明構思的示例性實施例的通過應用氣隙圖案進行布線的集成電路的平面圖。

圖14b是示出根據發明構思的示例性實施例的圖14a的集成電路的透視圖。

圖15是示出根據發明構思的示例性實施例的通過應用氣隙圖案進行布線的集成電路的透視圖。

圖16是示出根據發明構思的示例性實施例的通過應用氣隙圖案進行布線的集成電路的透視圖。

圖17是包括在根據發明構思的示例性實施例的集成電路中的標準單元的布圖。

圖18是示出根據發明構思的示例性實施例的存儲介質的框圖。

具體實施方式

在下文中將參照附圖更充分地描述本發明構思的示例性實施例。貫穿附圖同樣的附圖標號可以指示同樣的元件。

將理解的是,這裡使用術語「第一」、「第二」、「第三」等來將一個元件與另一元件區分開,元件不受這些術語的限制。因此,示例性實施例中的「第一」元件可以在另一示例性實施例中被描述為「第二」元件。還將理解的是,如本領域的普通技術人員將理解的,當兩個組件或方向被描述為彼此基本平行地或基本垂直地延伸時,所述兩個組件或方向彼此完全平行地或者完全垂直地延伸,或者彼此近似平行地或近似垂直地延伸。

圖1是示出根據發明構思的示例性實施例的製造集成電路的方法的流程圖。

參照圖1,根據示例性實施例,製造集成電路的方法可以包括集成電路設計操作s10和集成電路製造工藝s20。集成電路設計操作s10可以包括其中使用設計集成電路的工具來設計集成電路的布圖的操作s110至操作s130。在這種情況下,設計集成電路的工具可以是包括由處理器執行的多條指令的程序。程序可以存儲在存儲器中。因此,集成電路設計操作s10可以被稱作為可由處理器執行的設計集成電路的計算機實現方法。集成電路製造工藝s20對應於根據基於設計的布圖的集成電路製造半導體裝置的操作,並且可以由半導體處理裝置來執行。

集成電路可以被多個單元限定。例如,可以使用包括多個單元的特性信息的單元庫來設計集成電路。例如,在單元庫中,可以限定單元名稱、尺寸、柵寬(gatewidth)、引腳、延遲特性、漏電流、閾值電壓和單元功能。在示例性實施例中,單元庫可以是標準單元庫。標準單元庫可以包括諸如以多個標準單元的布圖信息和時序信息為例的信息。包括標準單元庫的單元庫可以存儲在計算機可讀存儲介質中。

在操作s110中,可以對限定集成電路的標準單元進行布局。例如,可以使用布局布線(placementandrouting,p/r)工具由處理器來執行操作s110。首先,接收限定集成電路的輸入數據。這裡,可以通過使用標準單元庫通過合成限定在用於集成電路的行為的摘要表中的數據(例如,限定在寄存器傳輸級(rtl)中的數據)來生成輸入數據。輸入數據可以是例如通過合成由vhsic硬體描述語言(vhdl)和硬體描述語言(hdl)(例如,以verilog為例)限定的集成電路而生成的比特流或網表。隨後,訪問存儲標準單元庫的存儲介質,並且對根據輸入數據從存儲在標準單元庫中的多個標準單元之中所選擇的標準單元進行布局。

在操作s120中,如下面進一步描述的用氣隙層(air-gaplayer)對從所布局的標準單元選擇的線(net)進行預布線。在操作s130中,(例如,不用氣隙層)對不選自於所布局的標準單元的線進行布線。這裡,氣隙層指包括氣隙或氣隙圖案的層。例如,可以選擇包括在所布局的標準單元中的多條線中的至少一條線,所選擇的至少一條線可以被分配給氣隙層。在示例性實施例中,如下面進一步描述的,至少一條線可以對應於時序關鍵路徑的一條線。

這裡,線可以表示集成電路的等效電路圖中的等電位。一條線可以對應於集成電路的布圖中的一個互連。互連可以對應於例如包括彼此電連接的多個布線層和通孔的布線結構。每個布線層可以包括例如多個導電圖案。形成在可設置在不同的水平面上的布線層中的導電圖案可以通過由導電材料形成的通孔彼此電連接。在示例性實施例中,布線層可以包括作為導電材料的金屬,並且可以被稱為金屬層。在示例性實施例中,布線層可以包括除金屬之外的導電材料。

根據示例性實施例,線可以包括第一布線層中所包括的第一導電圖案、第二布線層中所包括的第二導電圖案以及設置在第一導電圖案和第二導電圖案之間的通孔,所述通孔使第一導電圖案和第二導電圖案電連接。第一布線層和第二布線層可以設置在不同水平面處。然而,發明構思不限於此。例如,在示例性實施例中,線可以包括同一布線層中所包括的導電圖案。此外,在示例性實施例中,線可以包括第一布線層中所包括的多個第一導電圖案以及第二布線層中所包括的多個第二導電圖案。

根據示例性實施例,由於氣隙圖案設置為相鄰(例如,直接相鄰)於與所選的線對應的導電圖案,所以可以由氣隙層對所選的線進行預布線。在示例性實施例中,氣隙層可以由雙向氣隙層來實現。例如,包括在氣隙層中的氣隙圖案可以在第一方向上延伸或者可以在基本垂直於第一方向的第二方向上延伸。在下文中,將參照圖2a描述根據示例性實施例的氣隙層。

圖2a是示出根據發明構思的示例性實施例的包括氣隙層的集成電路ic的剖視圖。

參照圖2a,集成電路ic可以包括第一布線層至第三布線層m1、m2和m3、第一絕緣層ild1、第二絕緣層ild2、第一阻擋層bm1和第二阻擋層bm2。集成電路ic可以例如根據圖1的工藝s10來設計,並且可以例如根據圖1的工藝s20來製造。

第一布線層m1可以在x方向上延伸,第一阻擋層bm1可以包括設置在第一布線層m1上的多個阻擋層,第一絕緣層ild1可以設置在第一阻擋層bm1上。第二布線層m2可以設置在第一絕緣層ild1上並且在y方向上延伸,第二阻擋層bm2可以包括設置在第二布線層m2上的多個阻擋層,第二絕緣層ild2可以設置在第二阻擋層bm2上。第一絕緣層ild1和第二絕緣層ild2可以被稱為層間電介質。第三布線層m3設置在第二絕緣層ild2上並且在x方向上延伸。

在示例性實施例中,可以預先選擇將要分配給氣隙層agl的第一布線層m1至第三布線層m3中的一個布線層。在示例性實施例中,可以基於第一布線層m1至第三布線層m3的高度和/或寬度來選擇將要分配給氣隙層agl的布線層。例如,來自第一布線層m1至第三布線層m3之中的具有相對大的高度和/或寬度的布線層可以具有相對低的電阻。該布線層可以被選擇為氣隙層。例如,諸如第一布線層m1的較低水平面的布線層的電阻可以高於諸如第三布線層m3的較高水平面的布線層的電阻。在示例性實施例中,可以基於連接第一布線層m1至第三布線層m3的通孔的高度和/或寬度來選擇將要分配給氣隙層agl的布線層。例如,較低水平面的布線層(諸如第一布線層m1)的通孔的電阻可以低於較高水平面的布線層(諸如第三布線層m3)的通孔的電阻。在示例性實施例中,可以基於第一布線層m1至第三布線層m3的高度和/或寬度以及連接第一布線層m1至第三布線層m3的通孔的高度和/或寬度來選擇將要分配為氣隙層agl的布線層。

在示例性實施例中,如圖2a中示出的,第二布線層m2可以分配給包括氣隙圖案agp的氣隙層agl,第一布線層m1和第三布線層m3可以分配給不包括氣隙圖案agp的正常層。在示例性實施例中,第二布線層m2可以用氣隙層agl來進行預布線,第一布線層m1和第三布線層m3可以用正常層來進行布線。因此,第一布線層至第三布線層m1、m2和m3可以通過兩級布線方案(two-stagewiringscheme)來進行布線。在示例性實施例中,可以在用正常層對其它層進行布線之前或在用正常層對其它層進行布線之後,利用氣隙層agl對用氣隙層agl預布線的布線層進行預布線。

根據示例性實施例,第二布線層m2可以包括在y方向上延伸的導電圖案的cpt,以及設置在導電圖案的cpt之間的氣隙圖案agp。可以通過用空氣替代導電圖案cpt之間的金屬間電介質(imd)材料來產生氣隙圖案agp。因為空氣的介電係數為1(這是低值),所以氣隙圖案agp可以減小導電圖案的cpt之間的寄生電容,並因此可以提高包括集成電路ic的半導體晶片的操作速度。然而,因為在產生氣隙圖案agp時,諸如掩模成本的工藝成本增加,所以當包括在集成電路ic中的第一布線層至第三布線層m1、m2和m3中的所有布線層用氣隙層來實現時,晶片的製造成本顯著地增加。

因此,根據示例性實施例,不用氣隙層來實現包括在集成電路ic中的所有的層(例如,圖2a中示出的示例性實施例中的第一布線層至第三布線層m1、m2和m3)。相反,可以用氣隙層來實現諸如以圖2a中示出的示例性實施例中的僅第二布線層m2為例的僅一些層(例如,與時序關鍵路徑的線對應的層)。因此,可以在不顯著地增加製造成本的情況下改善集成電路ic的性能的提高。例如,根據示例性實施例,實現集成電路ic的晶片的操作速度可以提高到與其中用氣隙層實現集成電路的所有的層的集成電路的操作速度基本相同的水平。

圖2b是示出根據發明構思的示例性實施例的包括氣隙層的集成電路ic'的剖視圖。

參照圖2b,集成電路ic'可以包括第一布線層至第三布線層m1、m2'和m3、第一絕緣層ild1、第二絕緣層ild2、第一阻擋層bm1和第二阻擋層bm2。圖2b中示出的示例性實施例包括與圖2a中示出的示例性實施例的某些相似之處。為了便於解釋,這裡可以省略對先前參照圖2a描述的元件和構造的進一步詳細的描述。在示例性實施例中,第二布線層m2'可以分配給包括氣隙圖案agp的氣隙層agl,第一布線層m1和第三布線層m3可以分配給不包括氣隙圖案agp的一般層。

根據示例性實施例,第二布線層m2'可以包括在y方向上延伸的導電圖案cpt。例如,導電圖案cpt可以包括第一導電圖案cpt1、第二導電圖案cpt2和第三導電圖案cpt3,其中,第一導電圖案cpt1具有設置在其相對的兩個側表面上的氣隙圖案agp,第二導電圖案cpt2具有設置在它的一個側表面上的氣隙圖案agp,第三導電圖案cpt3不具有設置在其任一相對的兩個側表面上的氣隙圖案agp。因此,第一導電圖案cpt1和第二導電圖案cpt2可以被稱為氣隙導電圖案,第三導電圖案cpt3可以被稱為正常導電圖案。因此,在示例性實施例中,可以用氣隙導電圖案來實現包括在氣隙層agl(例如,圖2b中示出的示例性實施例中的第二布線層m2')中的導電圖案cpt中的一個。

往回參照圖1,在操作s130之後,可以將限定集成電路的輸出數據提供到半導體工藝裝置。這裡,輸出數據可以具有包括標準單元的所有布圖信息的格式。例如,輸出數據可以包括所有層的圖案信息,並且可以具有例如圖形設計系統(gds)ii格式。此外,輸出數據可以具有包括標準單元的外部信息(諸如以標準單元的引腳為例)的格式。例如,輸出數據可以具有庫交換格式(lef)或milkyway格式。

如上所述,根據示例性實施例,可以通過對布置的標準單元施用兩級布線方案來執行布線。例如,針對布置的標準單元的布線可以包括諸如操作s120的第一布線操作和諸如操作s130的第二布線操作。例如,來自布置的標準單元中的多個時序關鍵路徑之中的時序關鍵路徑的至少一條線可以分配給氣隙層,並且剩餘的線可以分配給正常層。因此,可以通過使用少量的氣隙層來製造高性能的集成電路。

設計集成電路的操作s10可以包括上面描述的操作s110至操作s130。然而,發明構思不限於此。例如,在示例性實施例中,操作s10可以包括與設計集成電路相關的各種操作,諸如以標準單元庫的生成、標準單元庫的糾正以及布圖的驗證為例。此外,在示例性實施例中,操作s110至操作s130可以對應於集成電路設計工藝的後端設計工藝,可以在操作s110之前執行前端設計工藝。前端設計工藝可以包括例如設計規範的確定、動作級別的建模和驗證、寄存器傳輸級(rtl)的設計、功能的驗證、邏輯的合成以及門級的驗證(或者預布圖的仿真)。

在操作s140中,基於布圖生成掩模。例如,首先,可以基於布圖執行光學鄰近校正(opc)。opc指根據光學鄰近效應在反映錯誤的同時改變布圖的工藝。隨後,可以根據基於opc性能結果改變的布圖來製造掩模。然後,可以使用反映opc的布圖(諸如以其上反映opc的圖形數據系統(gds)為例)來製造掩模。

在操作s150中,使用掩模製造集成電路。例如,通過使用掩模對諸如晶片的半導體基底執行各種半導體工藝來形成其中實現集成電路的半導體裝置。使用掩模的工藝可以指例如通過光刻工藝的圖案化工藝。可以通過圖案化工藝在半導體基底或材料層上形成期望的圖案。半導體工藝可以包括例如沉積工藝、蝕刻工藝、電離工藝和清潔工藝。半導體工藝還可以包括例如封裝工藝,所述封裝工藝包括在印刷電路板(pcb)上安裝半導體裝置並用密封劑密封半導體。半導體工藝還可以包括例如測試半導體裝置或封裝件的測試工藝。

圖3是根據發明構思的示例性實施例的集成電路設計系統10。

參照圖3,集成電路設計系統10可以包括處理器11、工作存儲器13、輸入/輸出裝置15、輔助存儲17和總線19。集成電路設計系統10可以執行圖1的集成電路設計工藝s10。在示例性實施例中,集成電路設計系統10可以由集成裝置來實現,並因此可以被稱為集成電路設計設備。集成電路設計系統10可以被設置為用於設計半導體裝置的集成電路的專用設備,並且可以是用於驅動各種仿真工具或設計工具的計算機。

處理器11可以被構造為運行執行用於設計集成電路的各種操作中的至少一種操作的指令。處理器11可以通過總線19與工作存儲器13、輸入/輸出(i/o)裝置15和輔助存儲17執行通信。處理器11可以通過驅動在工作存儲器13中加載的布局布線(placementandrouting,p&r)模塊13a和時序分析模塊(timinganalysismodule)13b來運行設計集成電路的操作。例如,處理器11可以通過運行存儲在與p&r和時序分析相關的存儲器中的指令來運行設計集成電路的操作。

工作存儲器13可以存儲p&r模塊13a(例如,與p&r相關的指令)和時序分析模塊13b(例如,與時序分析相關的指令)。p&r模塊13a和時序分析模塊13b可以從輔助存儲17加載到工作存儲器13。工作存儲器13可以是諸如以靜態隨機存取存儲器(sram)或動態隨機存取存儲器(dram)為例的易失性存儲器,或者可以是諸如以相變隨機存取存儲器(pram)、磁阻隨機存取存儲器(mram)、電阻式隨機存取存儲器(reram)或nor快閃記憶體為例的非易失性存儲器。

p&r模塊13a可以是例如包括用於執行根據圖1的操作s110的布置操作以及根據圖1的操作s120和操作s130的布線操作的指令的程序。時序分析模塊13b可以是例如包括用於確定是否滿足時序約束的指令的程序。確定是否滿足時序約束的步驟可以包括例如對布置的標準單元中的所有時序路徑執行時序分析。時序分析模塊13b可以指例如靜態時序分析(sta)工具。

輸入/輸出裝置15可以控制來自用戶接口裝置的用戶輸入和輸出。輸入/輸出裝置15可以包括諸如以鍵盤、滑鼠或觸摸板為例的輸入裝置,並且可以接收限定集成電路的輸入數據。輸入/輸出裝置15可以包括諸如以顯示器或揚聲器為例的輸出裝置,並且可以顯示例如布置結果、布線結果或時序分析結果。

輔助存儲17可以存儲與p&r模塊13a和時序分析模塊13b相關的各種數據。輔助存儲17可以包括例如存儲卡(例如,多媒體卡(mmc)、嵌入式多媒體卡(emmc)、安全數字(sd)、microsd等)、固態驅動器和硬碟驅動器。

圖4是根據發明構思的示例性實施例的集成電路設計系統20。

參照圖4,集成電路設計系統20可以包括用戶裝置21、集成電路設計平臺22和輔助存儲23。集成電路設計系統20可以執行圖1的集成電路設計操作s10。在示例性實施例中,用戶裝置21、集成電路設計平臺22和輔助存儲23中的至少一個可以是單獨的裝置,用戶裝置21、集成電路設計平臺22和輔助存儲23可以經由有線/無線通信通過網絡進行彼此通信。在示例性實施例中,用戶裝置21、集成電路設計平臺22和輔助存儲23中的至少一個可以設置在與其它組件的位置不同的位置處。

用戶裝置21可以包括處理器21a和用戶界面(ui)21b。處理器21a可以根據通過ui21b的用戶輸入來驅動集成電路設計平臺22。集成電路設計平臺22是用於設計集成電路的一組計算機可讀指令,並且可以包括p&r模塊22a(例如,對應於與p&r相關的指令)和時序分析模塊22b(例如,對應於與時序分析相關的指令)。輔助存儲23可以包括單元庫資料庫(db)23a和布圖db23b。單元庫db23a存儲與用於生成集成電路的布圖所使用的單元相關的信息,布圖db23b存儲與由p&r模塊22a生成的布圖相關的信息(例如,布圖的物理信息)。

圖5是示出根據發明構思的示例性實施例的設計集成電路的方法s10a的流程圖。

參照圖5,根據示例性實施例的設計集成電路的方法s10a可以對應於圖1的集成電路設計操作s10的實施。可以例如由圖3的集成電路設計系統10的處理器11或圖4的集成電路設計系統20的處理器21a執行設計集成電路的方法s10a。

在操作s210中,執行布圖規劃(floorplanning)。布圖規劃是布局規劃階段,並且指簡要規劃對標準單元和宏單元布局/布線的方式的操作。例如,布圖規劃可以包括諸如以在晶片中對i/o焊盤(pad)、標準單元、隨機存取存儲器(ram)等進行布局為例的操作。

在操作s220中,對限定集成電路的標準單元進行布局。在下文中,可以執行布局後優化。在操作s230中,執行時鐘樹合成(clocktreesynthesis)。時鐘樹合成指在生成電路的布圖時自動生成時鐘網絡並在合適的位置處插入緩衝器的操作。一旦在操作s220和s230中對標準單元進行布局並執行時鐘樹合成,則完成了標準單元的布局。

在操作s240中,選擇氣隙層。在示例性實施例中,可以選擇包括在所布局的標準單元中的多個時序路徑中的時序關鍵路徑,並且可以將時序關鍵路徑分配給氣隙層。在操作s250中,選擇時序關鍵路徑上的線(在下文中也稱為時序關鍵線(timingcriticalnet))。在示例性實施例中,包括在時序關鍵路徑的範圍內的線可以被選擇為時序關鍵線。在示例性實施例中,可以在布局操作s220期間執行操作s240和/或操作s250。在示例性實施例中,可以在預布線操作s260和布線操作s270期間執行操作s240和/或操作s250。

在示例性實施例中,集成電路設計方法還可以包括在操作s250之後重新選擇時序關鍵線的操作。例如,可以基於例如時序關鍵線的延遲、與時序關鍵線對應的布線層的物理條件等,從氣隙層目標線中排除一些時序關鍵線。可以經由重新選擇操作來排除這些先前選擇的時序關鍵線。

在示例性實施例中,可以比較與使用氣隙導電圖案(例如,圖2b的cpt1或cpt2)對時序關鍵線進行布線的情況對應的延遲(例如,氣隙導電圖案布線的延遲)以及與使用正常導電圖案(例如,圖2b的cpt3)對時序關鍵線進行布線的情況對應的延遲(例如,正常導電圖案布線的延遲),並且基於比較結果重新選擇時序關鍵線。例如,當所選擇的時序關鍵線中的第一線的長度較小時(例如,當第一線連接同一布線層的兩個連接點時),對第一線進行布線的氣隙導電圖案的延遲可以大於正常導電圖案布線的延遲。因此,可以(例如,經由重新選擇操作)從所選擇的時序關鍵線排除第一線。

在示例性實施例中,可以確定與時序關鍵線對應的導電圖案和相鄰導電圖案之間的空間是否小於閾值,並且可以基於確定結果重新選擇時序關鍵線。例如,在示例性實施例中,如果與所選擇的時序關鍵線的第一線對應的導電圖案附近的空間不小於閾值,則可以(例如,經由重新選擇操作)從所選擇的時序關鍵線排除第一線。

此外,在示例性實施例中,可以確定與時序關鍵線相對應的導電圖案的位置,並且可以基於確定結果重新選擇時序關鍵線。例如,當與所選擇的時序關鍵線的第一線對應的導電圖案位於布線層的遠端時,可以(例如,經由重新選擇操作)從所選擇的時序關鍵線排除第一線。

在操作s260中,在氣隙層上以高優先級對時序關鍵線進行預布線。在操作s270中,對所布局的標準單元中的時序路徑的非關鍵路徑的線(在下文中也稱為未選擇的線)進行布線。以這種方式,根據示例性實施例,在設計集成電路的方法s10a中,可以通過施用兩級布線方案而使用少量的氣隙層來實現高性能的集成電路,其中在氣隙層上以相對高的優先級對包括在時序關鍵路徑中的線進行預布線,並且其中在沒有氣隙層的情況下以相對低的優先級對非關鍵路徑的線進行布線。

圖6是示出根據發明構思的示例性實施例的設計集成電路的方法s10b的流程圖。

參照圖6,根據示例性實施例的設計集成電路的方法s10b可以對應於圖5的集成電路設計過程s10a的實施。可以例如由圖3的集成電路設計系統10的處理器11或圖4的集成電路設計系統20的處理器21a來執行設計集成電路的方法s10b。

在操作s130中,對限定集成電路的多個標準單元進行布局。可以例如使用p&r工具(例如,圖3的組件13a或圖4的組件22a)來執行操作s310。在示例性實施例中,操作s310可以對應於圖5的操作s220。此外,在示例性實施例中,操作s310可以對應於圖5的操作s220和操作s230。

在操作s320中,可以對所布局的標準單元進行試驗布線。這裡,試驗布線是指用於排序時序關鍵路徑的布線。然而,本發明構思不限於此。例如,在示例性實施例中可以省略操作s320。例如,當僅通過由於操作s310的標準單元的布局信息來使能時序分析時,可以省略操作s320。在操作s330中,執行時序分析。例如,可以執行時序分析以選擇所布局的標準單元中的多個時序路徑中的時序關鍵路徑,並且可以提供時序分析結果數據。例如,在操作s330中,可以基於通過執行時序分析而獲得的時序分析結果數據來選擇包括在所布局的標準單元中的多個時序路徑中的時序關鍵路徑。另外,可以基於時序分析結果數據選擇至少一條線作為時序關鍵線。

時序路徑可以被劃分為例如數據路徑、時鐘路徑、時鐘門控路徑和異步路徑。每個時序路徑具有起始點和結束點。時序路徑可以指例如集成電路的部分之間的組合邏輯和互連、例如在輸入焊盤和輸出焊盤之間的組合邏輯和互連、在輸入焊盤和觸發器的數據輸入之間的組合邏輯和互連、在觸發器的數據輸出和另一觸發器的數據輸入的組合邏輯和互連以及觸發器的數據輸出和輸出焊盤之間的組合邏輯和互連。通過時序路徑的延遲可能對集成電路的操作速度具有大的影響。

時序關鍵路徑可以指其中從輸入(例如,開始點)到輸出(例如,結束點)的總的時序延遲超過時序約束的時序路徑。從輸入(例如,開始點)到輸出(例如,結束點)的總的時序延遲不超過時序約束的時序路徑可以被稱為非關鍵路徑。在示例性實施例中,時序關鍵路徑可以指具有最大延遲的時序路徑。在下文中,將參照圖7更詳細地描述時序分析。

圖7是描繪根據發明構思的示例性實施例的時序分析結果的曲線圖。

參照圖7,橫軸表示時間餘量(slack),縱軸表示時序路徑的數量。這裡,時間餘量表示時序要求所需的時間和實際到達時間之間的差,並且可以通過時序分析器或時序分析模塊(例如,圖3的時序分析模塊13b或圖4的時序分析模塊22b)來測量。正時間餘量指示沒有發生時序違規(timingviolation)(例如,滿足時序要求),負時間餘量指示已經發生的時序違規(例如,不滿足時序要求)。因此,對應於圖7中的負時間餘量的時序路徑可以對應於時序關鍵路徑(tcp)。

往回參照圖6,在操作s340中,選擇時序關鍵路徑的線。例如,可以通過將操作s330中獲取的分析數據施用於到操作s310中所布局的標準單元(例如,通過將分析數據施用到在執行操作s320的試驗布線之前的狀態)來選擇包括在時序關鍵路徑中的多條線中的至少一條。。例如,可以選擇與時序關鍵路徑的具體範圍對應的線。因此,在操作s340中,可以選擇包括在時序關鍵路徑中的多條線中的至少一條線。該選擇的至少一條線可以被稱為時序關鍵路徑的至少一條時序關鍵線。

在操作s350中,用氣隙層對所選擇的線進行預布線。在示例性實施例中,所選擇的線可以對應於包括在第一布線層中的第一導電圖案、電連接到第一導電圖案的通孔、以及包括在第二布線層中並電連接到通孔的第二導電圖案。在示例性實施例中,可以通過在第一導電圖案的相對的兩側上設置氣隙圖案,以及通過在第二導電圖案的相對的兩側上設置氣隙圖案的兩個氣隙層來對所選擇的線進行布線。在下文中,將參照圖8和圖9a至9c更詳細地描述操作s350。

圖8示出了根據發明構思的示例性實施例的使用氣隙層agl進行布線的布線結構81。

參照圖8,布線結構81對應於時序關鍵路徑。在布線結構81中,用氣隙層agl僅對與布線結構81的一些區域對應的第五布線層m5和第六布線層m6進行布線。第五布線層m5和第六布線層m6可以對應於時序關鍵路徑的所選擇的線(例如,時序關鍵線)。第一布線層m1可以包括第一引腳p1和第二引腳p2。第一引腳p1和第二引腳p2可以對應於例如時序關鍵路徑的輸入引腳(例如,起始點)和輸出引腳(例如,結束點)。

時序關鍵路徑是所布局的標準單元中的時序路徑中的不滿足時序約束的一種路徑。結果,時序關鍵路徑的導電圖案之間的寄生電容可能顯著地影響集成電路和包括集成電路的晶片的性能(例如,操作速度)。根據示例性實施例,用氣隙層對包括在時序關鍵路徑中的所選擇的線(例如,時序關鍵線)進行預布線。用氣隙層對所選擇的線進行預布線的步驟包括例如在與所選擇的線對應的布線層的相對的側上設置氣隙圖案。

根據示例性實施例,作為用氣隙層對時序關鍵路徑的所選擇的線進行預布線的結果,可以減小與時序關鍵路徑的所選擇的線對應的導電圖案之間的寄生電容。因此,可以減小時序關鍵路徑的時序延遲,造成時序關鍵路徑滿足時序約束。結果,可以提高集成電路和包括集成電路的晶片的操作速度。

圖9a至9e是示出根據發明構思的示例性實施例的用氣隙層進行布線的時序關鍵線的透視圖。圖9a和圖9c至圖9e中示例的時序關鍵線可以對應於例如圖8的第五布線層m5和第六布線層m6。圖9b中示例的時序關鍵線可以包括如下面進一步描述的第五布線層m5和第八布線層m8。

參照圖9a,雙向氣隙層可設置在連續的金屬層(例如,連續的布線層)上。例如,包括氣隙圖案agp1、agp1'、agp2和agp2'的雙向氣隙層可以設置在連續的第五布線層m5和第六布線層m6上。在圖9a中,時序關鍵線100可以是連接第一連接點cp1和第二連接點cp2的線。時序關鍵線100可以包括電連接到第一連接點cp1的第五布線層m5、設置在第五布線層m5上並且電連接到第五布線層m5的通孔v5、以及設置在通孔v5上並電連接到通孔v5和第二連接點cp2的第六布線層m6。第五布線層m5可以在y方向上延伸,第六布線層m6可以在x方向上延伸。貫穿附圖,x方向和y方向可以基本上彼此垂直。第五布線層m5和第六布線層m6可以分別對應於例如圖8的第五布線層m5和第六布線層m6。

在圖9a的示例性實施例中,第五布線層m5和第六布線層m6兩者可以通過氣隙層實現。例如,氣隙圖案agp1和agp1'可以設置在第五布線層m5的相對的兩側上,氣隙圖案agp2和agp2'可以設置在第六布線層m6的相對的兩側上。然而,發明構思不限於此。例如,在示例性實施例中,氣隙圖案可以設置在第五布線層m5的僅一側上,而包括一般電介質材料的imd可以設置在第五布線層m5的相對側上。類似地,氣隙圖案可以設置在第六布線層m6的僅一側上,而包括一般電介質材料的imd可以設置在第六布線層m6的相對側上。

在示例性實施例中,氣隙圖案agp1和agp1'可以在y方向上延伸,氣隙圖案agp2和agp2'可以在x方向上延伸。根據示例性實施例,如圖9a中示出的,時序關鍵線100可以通過雙向氣隙層實現,雙向氣隙層包括在兩個不同的方向上延伸的氣隙圖案。另外,根據示例性實施例,氣隙圖案可以設置在如圖9a與圖9c至圖9e中示出的兩個在z方向上連續的布線層上或者設置在如圖9b中示出的兩個在z方向上非連續的布線層上。例如,在示例性實施例中,如圖9a與圖9c至圖9e中示出的,第五布線層m5和第六布線層m6是在z方向上彼此相鄰(例如,直接相鄰)的兩個連續的布線層,並且通過氣隙層來實現。因此,根據示例性實施例,作為利用雙向氣隙層的結果,可以增達氣隙體積,而不管時序關鍵線100的第一連接點cp1和第二連接點cp2的位置。結果,可以提高集成電路的性能增益。在示例性實施例中,作為利用雙向氣隙層的結果,氣隙體積可以變為約100%,而不管時序關鍵線100的第一連接點cp1和第二連接點cp2的位置。

參照圖9b,雙向氣隙層可以設置在非連續的金屬層(例如,非連續的布線層)上。例如,包括氣隙圖案agp1、agp1'、agp2和agp2'的雙向氣隙層可以設置在非連續的第五布線層m5和第八布線層m8上。中間布線層m6和m7可以設置在非連續的第五布線層m5和第八布線層m8之間。在圖9b中,時序關鍵線100可以是連接第一連接點cp1和第二連接點cp2的線。時序關鍵線100可以包括電連接到第一連接點cp1的第五布線層m5、設置在第五布線層m5上並電連接到第五布線層m5的通孔v5、設置在通孔v5上並電連接到通孔v5的第六布線層m6、設置在第六布線層m6上並電連接到第六布線層m6的通孔v6、設置在通孔v6上並電連接到通孔v6的第七布線層m7、設置在第七布線層m7上並電連接到第七布線層m7的通孔v7以及設置在通孔v7上並電連接到通孔v7和第二連接點cp2的第八布線層m8。

第五布線層m5和第七布線層m7可以在y方向上延伸,第六布線層m6和第八布線層m8可以在x方向上延伸。貫穿附圖,x方向和y方向可以基本上彼此垂直。

在圖9b的示例性實施例中,作為非連續的布線層的第五布線層m5和第八布線層m8兩者可以通過氣隙層實現。例如,氣隙圖案agp1和agp1'可以設置在第五布線層m5的相對的兩側上,氣隙圖案agp2和agp2'可以設置在第八布線層m8的相對的兩側上。然而,發明構思不限於此。例如,在示例性實施例中,氣隙圖案可以設置在第五布線層m5的僅一側上,而包括一般電介質材料的imd可以設置在第五布線層m5的相對側上。類似地,氣隙圖案可以設置在第八布線層m8的僅一側上,而包括一般電介質材料的imd可以設置在第八布線層m8的相對側上。

在示例性實施例中,氣隙圖案agp1和agp1'可以在y方向上延伸,氣隙圖案agp2和agp2'可以x方向上延伸。根據示例性實施例,氣隙圖案可以設置在如圖9b中示出的兩個在z方向上的非連續布線層上。例如,在示例性實施例中,第五布線層m5和第八布線層m8是在z方向上不彼此相鄰(例如,不直接相鄰)的兩個非連續布線層,並且由氣隙層實現,如圖9b中示出的。

參照圖9c至圖9e,氣隙圖案可以僅設置在第五布線層m5和/或第六布線層m6的僅一側上。包括一般電介質材料的imd可以設置在第五布線層m5和/或第六布線層m6的與設置有氣隙圖案的僅一側的相對的側上。例如,在圖9c的示例性實施例中,雙向氣隙層可以包括設置在第五布線層m5的相對的兩側上的氣隙圖案agp1和agp1',以及設置在第六布線層m6的僅一側上的氣隙圖案agp2。包括一般電介質材料的imd可以設置在第六布線層m6的不包括與其相鄰設置的氣隙圖案的側面上。在圖9d的示例性實施例中,雙向氣隙層可以包括設置在第六布線層m6的相對的兩側上的氣隙圖案agp2和agp2',以及設置在第五布線層m5的僅一側上的氣隙圖案agp1。包括一般電介質材料的imd可以設置在第五布線層m5的不包括與其相鄰設置的氣隙圖案的側面上。在圖9e的示例性實施例中,雙向氣隙層可以包括設置在第五布線層m5的僅一側上的氣隙圖案agp1以及設置在第六布線層m6的僅一側上的氣隙圖案agp2。包括一般電介質材料的imd可以設置在第五布線層m5和第六布線層m6的不包括與其相鄰設置的氣隙圖案的側面上。

如參照圖9a至圖9e描述的,可以以各種方式執行對所選擇的線(例如,時序關鍵線)的預布線。例如,基於時序分析結果,可以根據時間餘量的大小可變地確定氣隙層的數量和/或氣隙圖案的數量。此外,可以考慮諸如以功率約束或面積約束以及時序約束為例的其它約束來可變地確定氣隙層的數量和/或氣隙圖案的數量。可以以上述方式用氣隙層對多條所選擇的線(例如,時序關鍵線)進行預布線。

往回參照圖6,在操作s360中,對未選擇的線進行布線。在示例性實施例中,未選擇的線可以包括在非關鍵路徑中所包括的線,所述非關鍵路徑來自於標準單元中的多個時序路徑之中。另外,未選擇的線可以包括時序關鍵路徑中所包括的除了在操作s340中選擇的線之外的線。例如,在時序關鍵路徑內,一些線可以被選擇為時序關鍵線,並且可以用氣隙層進行預布線,其它的線可以不被選擇並且可以在沒有氣隙層的情況下進行布線(例如,用不包括氣隙圖案的層進行布線)。未選擇的線也可以被稱為非關鍵線。

在示例性實施例中,未選擇的線可以對應於包括在第一布線層中的第一導電圖案、電連接到第一導電圖案的通孔以及包括在第二布線層中並電連接到通孔的第二導電圖案。可以在沒有氣隙層的情況下對未選擇的線進行布線。例如,可以通過在第一導電圖案和第二導電圖案中的每個的相對的側上設置一般電介質材料來對未選擇的線進行布線,而不是在第一和第二導電圖案中的每個的相對側上設置氣隙圖案。然而,發明構思不限於此。例如,在示例性實施例中,未選擇的線中的至少一條可以用氣隙層來進行布線。例如,時序關鍵路徑的數量或長度可以相對小(例如,小於預定的閾值),包括在時序關鍵路徑中的線的數量也可以相對少(例如,少於預定的閾值)。結果,操作s340中選擇的線的數量可以少。在這種情況下,當氣隙層的資源保留時,可以用氣隙層來對操作s360中的未選擇的線中的至少一條進行布線。

在下文中,將參照圖10更詳細地描述操作s360。

圖10示出了根據發明構思的示例性實施例的使用正常層進行布線的布線結構101。

參照圖10,布線結構101可以對應於非關鍵路徑,並且包括在布線結構101中的第一布線層m1至第六布線層m6可以用正常層進行布線(例如,沒有氣隙層的情況下進行布線)。第一布線層m1可以包括第一引腳p1和第二引腳p2。第一引腳p1和第二引腳p2可以分別對應於例如非關鍵路徑的輸入引腳(例如,起始點)和輸出引腳(例如,結束點)。

非關鍵路徑是所布局的標準單元中的時序路徑中的滿足時序約束的時序路徑。因此,非關鍵路徑的導電圖案之間的寄生電容不會顯著地影響集成電路和包括集成電路的晶片的性能(例如,操作速度)。因此,根據示例性實施例,包括在非關鍵路徑中的線可以通過正常層而不是氣隙層來進行布線。例如,包括一般電介質材料的imd可以設置在與非關鍵路徑中包括的線對應的布線層的相對的側上(例如,而不是在布線層的相對的側上設置氣隙圖案)。

根據發明構思的示例性實施例,可以通過用氣隙層對時序關鍵路徑的所選擇的線進行布線以及通過用正常層(例如,使用包括一般電介質材料的imd)而不是氣隙層對未選擇的線(例如,非關鍵路徑的線和/或時序關鍵路徑的未選擇的線)進行布線來減少當製造包括氣隙層的集成電路時使用的氣隙層的數量。因此,可以降低集成電路的製造成本,並且可以提高集成電路和包括集成電路的晶片的操作速度。

參照圖6,在操作s370中,執行後布線優化。後布線優化對在布線完成之後可能存在的時序和/或設計規則的違規進行修復。在後布線優化之後,可以通過執行技術更改指令(eco)布線並反映網表中的任何改變來生成最終布圖。

圖11a是示出根據發明構思的示例性實施例的通過應用氣隙圖案進行布線的集成電路200的平面圖。圖11b是示出根據發明構思的示例性實施例的圖11a的集成電路200的透視圖。

參照圖11a和圖11b,集成電路200可以對應於包括第一連接點210和第二連接點215(由圖11a和圖11b中的cp表示)和導電圖案220(由圖11a和圖11b中的mb表示)的一條線。第一連接點210和第二連接點215可以設置在同一層中,並且它們的y坐標可以相同,它們的x坐標可以不同。集成電路200可以包括例如設置在第一連接點210和第二連接點215之間的導電圖案220,以及設置在導電圖案220的相對側上的氣隙圖案230和235(由圖11a和圖11b中的agpb表示)。

在示例性實施例中,導電圖案220可以對應於時序關鍵路徑。因此,氣隙圖案230和235可以設置在導電圖案220的相對的兩側上。導電圖案220可以在x方向上延伸,因此,氣隙圖案230和235也可以在x方向上延伸。導電圖案220可以對應於例如圖8的第五布線層m5或第六布線層m6。

圖12a是示出根據發明構思的示例性實施例的通過應用氣隙圖案進行布線的集成電路300的平面圖。圖12b是示出根據發明構思的示例性實施例的圖12a的集成電路300的透視圖。

參照圖12a和圖12b,集成電路300可以對應於包括第一連接點310和第二連接點315(由圖12a和圖12b中的cp表示)以及第一導電圖案320和第二導電圖案350(分別由圖12a和圖12b中的ma和mb表示)。第一連接點310和第二連接點315可以設置在不同的層中,並且它們的y坐標可以相同,它們的x坐標可以不同。集成電路300可以包括例如連接到第一連接點310的第一導電圖案320、設置在第一導電圖案320上的第一通孔340和第二通孔345、設置在第二通孔345上的第二導電圖案350、設置在第一導電圖案320的相對的兩側上的第一氣隙圖案330和335(由圖12a和圖12b中的agpa表示)以及設置在第二導電圖案350的相對的兩側上的第二氣隙圖案360和365(由圖12a和圖12b中的agpb表示)。

在示例性實施例中,第一導電圖案320和第二導電圖案350可以對應於時序關鍵路徑。因此,第一氣隙圖案330和335可以設置在第一導電圖案320的相對的兩側上,第二氣隙圖案360和365可以設置在第二導電圖案350的相對的兩側上。第一導電圖案320可以在x方向上延伸,因此,第一氣隙圖案330和335也可以在x方向上延伸。第二導電圖案350可以在x方向上延伸,因此,第二氣隙圖案360和365也可以在x方向上延伸。第一導電圖案320和第二導電圖案350可以分別對應於例如圖8的第五布線層m5和第六布線層m6。

圖13a是示出根據發明構思的示例性實施例的通過應用氣隙圖案進行布線的集成電路400的平面圖。圖13b是示出根據發明構思的示例性實施例的圖13a的集成電路400的透視圖。

參照圖13a和圖13b,集成電路400可以對應於包括第一連接點410和第二連接點415(由圖13a和13b中的cp表示)以及導電圖案420(由圖13a和13b中的ma表示)的一條線。第一連接點410和第二連接點415可以設置在同一層中,並且它們的x坐標可以相同,它們的y坐標可以不同。集成電路400可以包括例如設置在第一連接點410和第二連接點415之間的導電圖案420,以及設置在導電圖案420的相對的兩側上的氣隙圖案430和435。

在示例性實施例中,導電圖案420可以對應於時序關鍵路徑。因此,氣隙圖案430和435(由圖13a和13b中的agpa表示)可以設置在導電圖案420的相對的兩側上。導電圖案420可以在y方向上延伸,因此,氣隙圖案430和435也可以在y方向上延伸。導電圖案420可以對應於例如圖8的第五布線層m5或第六布線層m6。

圖14a是示出根據發明構思的示例性實施例的通過應用氣隙圖案進行布線的集成電路600的平面圖。圖14b是示出根據發明構思的示例性實施例的圖14a的集成電路600的透視圖。

參照圖14a和14b,集成電路600可以對應於包括第一連接點610和第二連接點615(由圖14a和圖14b中的cp表示)以及第一導電圖案620和第二導電圖案650(分別由圖14a和圖14b中的ma和mb表示)。第一連接點610和第二連接點615可以設置在不同的層中,並且它們的x坐標和y坐標可以不同。集成電路600可以包括例如連接到第一連接點610的第一導電圖案620、設置在第一導電圖案620上的通孔640、設置在通孔640上的第二導電圖案650、設置在第一導電圖案620的相對的兩側上的第一氣隙圖案630和635(由圖14a和圖14b中的agpa表示)以及設置在第二導電圖案650的相對的兩側上的第二氣隙圖案660和665(由圖14a和圖14b中的agpb表示)。

在示例性實施例中,第一導電圖案620和第二導電圖案650可以對應於時序關鍵路徑。因此,第一氣隙圖案630和635可以設置在第一導電圖案620的相對的兩側上,第二氣隙圖案660和665可以設置在第二導電圖案650的相對的兩側上。第一導電圖案620可以在y方向上延伸,因此,第一氣隙圖案630和635也可以在y方向上延伸。第二導電圖案650可以在x方向上延伸,因此,第二氣隙圖案660和665也可以在x方向上延伸。第一導電圖案620和第二導電圖案650可以分別對應於例如圖8的第五布線層m5和第六布線層m6。

以這種方式,根據發明構思的示例性實施例,第一氣隙圖案630和635可以設置為在y方向上延伸,第二氣隙圖案660和665可以設置為在x方向上延伸。因此,第一氣隙圖案630和635以及第二氣隙圖案660和665通過雙向氣隙圖案實現。結果,在z方向上彼此相鄰(例如,直接相鄰)的兩個連續層可以通過氣隙層(例如,每個連續層可以包括氣隙圖案)實現。例如,當僅利用單向氣隙圖案時,兩個相鄰的(例如,直接相鄰的)層可以不通過氣隙層實現,僅交替地布置的層可以通過氣隙層實現。在發明構思的示例性實施例中,利用雙向氣隙圖案以允許z方向上彼此相鄰(例如,直接相鄰)的兩個連續層通過氣隙層實現。例如,根據示例性實施例,彼此相鄰(例如,直接相鄰)的兩個層可以分別包括氣隙圖案。結果,根據發明構思的示例性實施例,可以減小與時序關鍵路徑的線對應的導電圖案之間的寄生電容,並且可以提高集成電路和包括集成電路的晶片的操作速度。

圖15是示出根據本發明構思的示例性實施例的通過應用氣隙圖案進行布線的集成電路700的透視圖。

參照圖15,集成電路700可以對應於包括第一連接點710和第二連接點715(由圖15中的cp表示)以及第一導電圖案720a至第三導電圖案720c(由圖15中的mx表示)的一條線。第一連接點710和第二連接點715可以設置在同一層中,並且它們的x坐標和y坐標可以不同。第一導電圖案720a至第三導電圖案720c可以設置在同一層中。第一導電圖案720a至第三導電圖案720c可以對應於例如圖8的第五布線層m5或第六布線層m6。

在示例性實施例中,設置在同一層中的第一導電圖案720a至第三導電圖案720c可以對應於時序關鍵路徑。因此,第一導電圖案720a至第三導電圖案720c可以通過氣隙導電圖案實現。例如,第一氣隙圖案730a和735a(由圖15中的agpx表示)可以設置在第一導電圖案720a的相對的兩側上。第一導電圖案720a與第一氣隙圖案730a和735a可以在x方向上延伸。第二氣隙圖案730b和735b(由圖15中的agpx表示)可以設置在第二導電圖案720b的相對的兩側上。第二導電圖案720b與第二氣隙圖案730b和735b可以在y方向上延伸。第三氣隙圖案730c和735c(由圖15中的agpx表示)可以設置在第三導電圖案720c的相對的兩側上。第三導電圖案720c與第三氣隙圖案730c和735c可以在x方向上延伸。

第二氣隙圖案730b和735b可以在y方向上延伸,第一氣隙圖案730a和735a以及第三氣隙圖案730c和735c可以在x方向上延伸。因此,在示例性實施例中,設置在同一層中的第一氣隙圖案730a至第三氣隙圖案735c可以通過雙向氣隙圖案實現。因此,在示例性實施例中,沿不同方向延伸並設置在同一層中的導電圖案可以通過氣隙導電圖案實現。

圖16是示出根據本發明構思的示例性實施例的通過應用氣隙圖案進行布線的集成電路800的透視圖。

參照圖16,集成電路800可以對應於包括第一連接點810和第二連接點815(由圖16中的cp表示)以及第一導電圖案820至第四導電圖案850(由圖16中的ma、ma+2、mb和mb+2表示)。第一連接點810和第二連接點815可以設置在不同的層中,並且它們的x坐標和y坐標可以不同。第一導電圖案820至第四導電圖案850可以設置在不同的層中。第一導電圖案820和第二導電圖案830可以分別對應於圖8的第五布線層m5和第六布線層m6。並且第三導電圖案840和第四導電圖案850可以對應於設置在第六布線層m6上方的第七布線層和第八布線層。

集成電路800可以包括例如連接到第一連接點810的第一導電圖案820、設置在第一導電圖案820上的通孔880、設置在通孔880上的第二導電圖案830、設置在第二導電圖案830上的通孔885、設置在通孔885上的第三導電圖案840、設置在第三導電圖案840上的通孔890以及設置在通孔890上的第四導電圖案850。集成電路800還可以包括設置在第一導電圖案820的相對的兩側上的第一氣隙圖案860和865(由圖16中的agpa表示)以及設置在第四導電圖案850的相對的兩側上的第二氣隙圖案870和875(由圖16中的agpb表示)。

在示例性實施例中,設置在不同層中的第一導電圖案820至第四導電圖案850可以對應於時序關鍵路徑。在示例性實施例中,第一導電圖案820至第四導電圖案850可以通過氣隙導電圖案實現。例如,第一氣隙圖案860和865可以設置在第一導電圖案820的相對的兩側上。第一導電圖案820與第一氣隙圖案860和865可以在y方向上延伸。第二氣隙圖案870和875可以設置在第四導電圖案850的相對的兩側上。第四導電圖案850與第二氣隙圖案870和875可以在x方向上延伸。

因此,根據示例性實施例,第一氣隙圖案860和865可以在y方向上延伸,第二氣隙圖案870和875可以在x方向上延伸。因此,在示例性實施例中,第一氣隙圖案860和865與第二氣隙圖案870和875可以通過雙向氣隙圖案實現。因此,在示例性實施例中,在z方向上不相鄰(例如,不直接相鄰)的兩個不連續的層可以通過氣隙層實現。例如,在示例性實施例中,彼此不直接相鄰的兩個層(例如,其間設置有中間層的兩個層)可以通過氣隙層實現,中間層不通過氣隙層實現(例如,中間層可以包括設置在其至少一側上的imd,imd包括一般電介質材料)。

圖17是包括在根據發明構思的示例性實施例的集成電路中的標準單元900的布圖。

參照圖17,標準單元900可以由單元邊界cb限定,並且可以包括多個鰭fn、第一有源區ar1、第二有源區ar2、多條柵極線gla、glb和glc(gl)、多條第一金屬線m1a、m1b和m1c(m1)以及第二金屬線m2。標準單元900還可以包括第一氣隙圖案agp1a和agp1b以及第二氣隙圖案agp2a和agp2b。第一金屬線m1a、設置在第一金屬線m1a上的第二通孔v1以及第二金屬線m2可以對應於時序關鍵線。

單元邊界cb是限定標準單元900的輪廓。布局布線工具(例如,圖3的p&r模塊13a或圖4的p&r模塊22a)可以使用單元邊界cb來識別標準單元900。單元邊界cb包括四條邊界線。

多個鰭fn可以在x方向上延伸,並且可以沿著與x方向基本垂直的y方向基本彼此平行地設置。第一有源區ar1和第二有源區ar2可以被設置為基本彼此平行,並且可以具有不同的導電類型。例如,在示例性實施例中,三個鰭fn可以設置在第一有源區ar1和第二有源區ar2中的每個中。然而,發明構思不限於此。例如,在示例性實施例中,可以改變設置在第一有源區ar1和第二有源區ar2的每個中的鰭的數量。

設置在第一有源區ar1和第二有源區ar2中的多個鰭fn可以被稱為有源鰭。儘管圖17僅示出有源鰭,但是發明構思不限於此。例如,在示例性實施例中,標準單元900還可以包括設置在單元邊界cb和第一有源區ar1之間的區域中的虛設鰭、第一有源區ar1和第二有源區ar2之間的區域中的虛設鰭或者第二有源區ar2和單元邊界cb之間的區域中的虛設鰭。

多條柵極線gl可以在y方向上延伸,並且可以沿著x方向基本彼此平行地設置。柵極線gl可以包括電的導電材料,例如以多晶矽、金屬或金屬合金為例。為了便於說明,圖17示出了標準單元900包括三條柵極線gl。然而,發明構思不限於此。例如,根據示例性實施例,標準單元900可以包括在y方向上延伸並且在x方向上彼此平行地設置的四條或更多條柵極線gl。

第一通孔v0可以分別設置在多條柵極線gla、glb和glc上,並且可以分別將多條柵極線gla,glb和glc與多條第一金屬線m1a、m1b和m1c電連接。第一通孔v0可以包括電的導電材料,例如以多晶矽、金屬或金屬合金為例。

多條第一金屬線m1可以形成設置在多條柵極線gl上的一個層。第一金屬線m1a可以對應於例如圖14b的第一導電圖案620。第一金屬線m1可以包括電的導電材料,例如以多晶矽、金屬或金屬合金為例。

在示例性實施例中,第一金屬線m1可以僅在y方向上延伸,並且可以沿著x方向基本彼此平行地設置。然而,發明構思不限於此。例如,在示例性實施例中,第一金屬線m1中的第一金屬線的一部分可以在y方向上延伸,而它的另一部分可以形成在x方向上延伸的l形。為了便於說明,圖17示出了標準單元900包括三條第一金屬線m1。然而,發明構思不限於此。例如,根據示例性實施例,標準單元900可以包括四條或更多條第一金屬線m1。

第二通孔v1可以分別設置在多條第一金屬線m1a和m1c上,並且可以將多條第一金屬線m1a和m1c與第二金屬線m2連接。設置在第一金屬線m1a上的第二通孔v1可以對應於圖14b的通孔640。第二通孔v1可以包括電的導電材料,例如以多晶矽、金屬或金屬合金為例。

第二金屬線m2可以形成設置在多條第一金屬線m1上的一個層。第二金屬線m2可以對應於例如圖14b的第二導電圖案650。第二金屬線m2可以包括電的導電材料,例如以多晶矽、金屬或金屬合金為例。

第二金屬線m2可以僅在x方向上延伸。然而,發明構思不限於此。例如,在示例性實施例中,第二金屬線m2的一部分可以在x方向上延伸,而它的另一部分可以形成在y方向上延伸的l形。為了便於說明,圖17示出了標準單元900包括一條第二金屬線m2。然而,發明構思不限於此。例如,根據示例性實施例,標準單元900可以包括兩條或更多條第二金屬線m2。

根據示例性實施例,第一氣隙圖案agp1a和agp1b可以設置在多條第一金屬線m1a至m1c之間。第一氣隙圖案agp1a和agp1b可以在y方向上延伸。多條第一金屬線m1a至m1c以及第一氣隙圖案agp1a和agp1b可以形成第一氣隙層。結果,根據發明構思的示例性實施例,可以減小多條第一金屬線m1a至m1c之間的寄生電容。

根據示例性實施例,第二氣隙圖案agp2a和agp2b可以設置在第一金屬線m2的相對的兩側上。第二氣隙圖案agp2a和agp2b可以在x方向上延伸。第二金屬線m2與第二氣隙圖案agp2a和agp2b可以形成第二氣隙層。結果,根據發明構思的示例性實施例,可以減小第二金屬線m2和相鄰的金屬線之間的寄生電容。

如參照圖1至圖17描述的,根據發明構思的示例性實施例,在設計集成電路的布圖的工藝中,可以從所布局的標準單元中的多個時序路徑之中選擇時序關鍵路徑,並且可以從所選擇的時序關鍵路徑的線之中選擇至少一條線。隨後,可以用氣隙層對所選擇的至少一條線進行預布線,並且可以用正常層(例如,不用氣隙層)對非關鍵路徑的線和/或時序關鍵路徑的未選擇的線進行布線。結果,根據發明構思的示例性實施例,可以通過使用少量的氣隙層以低成本實現高性能的集成電路。

圖18是示出根據發明構思的示例性實施例的存儲介質1000的框圖。

發明構思的示例性實施例可以以硬體、以由處理器運行的軟體模塊或以二者的結合來直接體現。軟體模塊可以有形地體現在非暫時性程序存儲裝置(諸如圖18的存儲介質1000)上。

參照圖18,存儲介質1000可以存儲單元庫1100、布圖數據1200、布局和布線程序(p&r程序)1300以及時序分析程序1400。存儲介質1000是計算機可讀存儲介質(例如,非暫時性計算機可讀存儲介質),並且可以包括可由計算機讀取以向計算機提供指令和/或數據的存儲介質。指令可以由計算機的處理器運行。計算機可讀存儲介質1000可以包括例如諸如磁碟、磁帶、cd-rom、dvd-rom、cd-r、cd-rw、dvd-r或dvd-rw的磁介質或光學介質、諸如ram、rom或快閃記憶體的易失性、可通過usb接口訪問的非易失性存儲器以及微機電系統(mems)。然而,計算機可讀存儲介質1000不限於此。計算機可讀存儲介質可以插入計算機中、可以集成在計算機中或者可以通過諸如有線或無線網絡的通信媒介與計算機結合。

單元庫1100可以是標準單元庫,並且可以包括關於作為構成集成電路的單元的標準單元的信息。在示例性實施例中,關於標準單元的信息可以包括用來生成布圖所需的布圖信息。在示例性實施例中,關於標準單元的信息可以包括例如驗證或仿真布圖所需的時序信息。

布圖數據1200可以包括關於通過布局和布線操作生成的布圖的物理信息。在示例性實施例中,布圖數據1200可以包括例如導電圖案的寬度和間隔值以及布置在導電圖案之間的氣隙圖案的數量和尺寸。

布局和布線程序1300可以包括多個指令以執行根據示例性實施例的通過使用標準單元庫來生成集成電路的布圖的方法。例如,布局和布線程序1300可以用於執行圖1的操作s110和s130、圖5的操作s210、s260和s270或圖6的操作s310、s320、s350和s360。

時序分析程序1400可以是例如靜態時序分析(sta)程序。sta可以對應於計算數字電路的預期時序的仿真方法。可以對所布局的標準單元的所有時序路徑執行時序分析,可以輸出時序分析結果。sta程序1400可以用於執行例如圖1的操作s120、圖5的操作s240和s250或者圖6的操作s330。

在示例性實施例中,存儲介質1000還可以存儲分析程序。分析程序可以包括用於執行基於限定集成電路的輸入數據來分析集成電路的方法的多個指令。在示例性實施例中,存儲介質1000還可以存儲數據結構。數據結構可以包括用於從單元庫1100提取具體信息或者管理在利用分析程序分析集成電路的特性的過程中生成的數據的存儲空間。

儘管已經參照本發明構思的示例性實施例具體示出和描述了本發明構思,但是本領域普通技術人員將理解的是,在不脫離本發明構思的如所附權利要求限定的精神和範圍的情況下,可以在其中進行形式和細節上的各種改變。

同类文章

一種新型多功能組合攝影箱的製作方法

一種新型多功能組合攝影箱的製作方法【專利摘要】本實用新型公開了一種新型多功能組合攝影箱,包括敞開式箱體和前攝影蓋,在箱體頂部設有移動式光源盒,在箱體底部設有LED脫影板,LED脫影板放置在底板上;移動式光源盒包括上蓋,上蓋內設有光源,上蓋部設有磨沙透光片,磨沙透光片將光源封閉在上蓋內;所述LED脫影

壓縮模式圖樣重疊檢測方法與裝置與流程

本發明涉及通信領域,特別涉及一種壓縮模式圖樣重疊檢測方法與裝置。背景技術:在寬帶碼分多址(WCDMA,WidebandCodeDivisionMultipleAccess)系統頻分復用(FDD,FrequencyDivisionDuplex)模式下,為了進行異頻硬切換、FDD到時分復用(TDD,Ti

個性化檯曆的製作方法

專利名稱::個性化檯曆的製作方法技術領域::本實用新型涉及一種檯曆,尤其涉及一種既顯示月曆、又能插入照片的個性化檯曆,屬於生活文化藝術用品領域。背景技術::公知的立式檯曆每頁皆由月曆和畫面兩部分構成,這兩部分都是事先印刷好,固定而不能更換的。畫面或為風景,或為模特、明星。功能單一局限性較大。特別是畫

一種實現縮放的視頻解碼方法

專利名稱:一種實現縮放的視頻解碼方法技術領域:本發明涉及視頻信號處理領域,特別是一種實現縮放的視頻解碼方法。背景技術: Mpeg標準是由運動圖像專家組(Moving Picture Expert Group,MPEG)開發的用於視頻和音頻壓縮的一系列演進的標準。按照Mpeg標準,視頻圖像壓縮編碼後包

基於加熱模壓的纖維增強PBT複合材料成型工藝的製作方法

本發明涉及一種基於加熱模壓的纖維增強pbt複合材料成型工藝。背景技術:熱塑性複合材料與傳統熱固性複合材料相比其具有較好的韌性和抗衝擊性能,此外其還具有可回收利用等優點。熱塑性塑料在液態時流動能力差,使得其與纖維結合浸潤困難。環狀對苯二甲酸丁二醇酯(cbt)是一種環狀預聚物,該材料力學性能差不適合做纖

一種pe滾塑儲槽的製作方法

專利名稱:一種pe滾塑儲槽的製作方法技術領域:一種PE滾塑儲槽一、 技術領域 本實用新型涉及一種PE滾塑儲槽,主要用於化工、染料、醫藥、農藥、冶金、稀土、機械、電子、電力、環保、紡織、釀造、釀造、食品、給水、排水等行業儲存液體使用。二、 背景技術 目前,化工液體耐腐蝕貯運設備,普遍使用傳統的玻璃鋼容

釘的製作方法

專利名稱:釘的製作方法技術領域:本實用新型涉及一種釘,尤其涉及一種可提供方便拔除的鐵(鋼)釘。背景技術:考慮到廢木材回收後再加工利用作業的方便性與安全性,根據環保規定,廢木材的回收是必須將釘於廢木材上的鐵(鋼)釘拔除。如圖1、圖2所示,目前用以釘入木材的鐵(鋼)釘10主要是在一釘體11的一端形成一尖

直流氧噴裝置的製作方法

專利名稱:直流氧噴裝置的製作方法技術領域:本實用新型涉及ー種醫療器械,具體地說是ー種直流氧噴裝置。背景技術:臨床上的放療過程極易造成患者的局部皮膚損傷和炎症,被稱為「放射性皮炎」。目前對於放射性皮炎的主要治療措施是塗抹藥膏,而放射性皮炎患者多伴有局部疼痛,對於止痛,多是通過ロ服或靜脈注射進行止痛治療

新型熱網閥門操作手輪的製作方法

專利名稱:新型熱網閥門操作手輪的製作方法技術領域:新型熱網閥門操作手輪技術領域:本實用新型涉及一種新型熱網閥門操作手輪,屬於機械領域。背景技術::閥門作為流體控制裝置應用廣泛,手輪傳動的閥門使用比例佔90%以上。國家標準中提及手輪所起作用為傳動功能,不作為閥門的運輸、起吊裝置,不承受軸向力。現有閥門

用來自動讀取管狀容器所載識別碼的裝置的製作方法

專利名稱:用來自動讀取管狀容器所載識別碼的裝置的製作方法背景技術:1-本發明所屬領域本發明涉及一種用來自動讀取管狀容器所載識別碼的裝置,其中的管狀容器被放在循環於配送鏈上的文檔匣或託架裝置中。本發明特別適用於,然而並非僅僅專用於,對引入自動分析系統的血液樣本試管之類的自動識別。本發明還涉及專為實現讀