新四季網

圖像感測元件及其製作方法

2023-05-30 06:02:56

專利名稱:圖像感測元件及其製作方法
技術領域:
本發明涉及半導體元件,尤其涉及半導體圖像感測元件(semiconductor image sensor device)及其製作方法。
背景技術:
半導體圖像傳感器(semiconductor image sensor)用以感測光線。互補式金屬氧化物半導體(CM0Q圖像傳感器(CIQ及電荷耦合元件(charge-coupled device, CCD) 傳感器廣泛地用於許多應用,例如靜態數位相機(digital still camera)或行動電話相機 (mobile phone camera)的應用。這些裝置利用基底中的像素陣列(array ofpixels),包括發光二極體(photodiodes)及電晶體,其可吸收朝基底投射的光線(radiation),並將所感測的光線轉換為電性信號(electrical signals)。背照式(back side illuminated, BSI)圖像感測元件為圖像感測元件的一種形式。背照式圖像感測元件的製作一般需要薄化工藝以減少基底的厚度。一般也於薄化工藝之後進行拋光工藝(或視為薄化工藝的一部分)以確認背照式圖像感測元件的背側為平滑且平坦的。然而,薄化工藝及拋光工藝可能導致缺陷產生於背照式圖像感測元件中,尤其是接近基底的背側處。這些缺陷可能造成暗電流(dark currents)及白像素(white pixels), 其降低背照式圖像感測元件的圖像品質及效能。現存的背照式圖像感測元件的製作方法可能無法充足地對付這些問題。因此,雖然現存製作背照式圖像感測元件的方法一般對於它們的預期的目的而言已足夠,但尚未在所有的方面完全滿足。

發明內容
為了解決現有技術的問題,本發明一實施例提供一種圖像感測元件,包括一基底,具有一前側及一背側;一光線感測元件,形成於該基底中,該光線感測元件用以檢測通過該背側進入該基底的一光波;以及一再結晶矽層,形成於該基底的該背側上,該再結晶矽層具有與該基底的光激發螢光強度不同的光激發螢光強度。本發明一實施例提供一種圖像感測元件,包括一基底,具有一前表面;一背表面,與該前表面相反;以及互相不彼此包括的一第一部分及一第二部分,該第一部分鄰接該背表面而設置,該第一部分設置於該第二部分與該背表面之間,其中該第一部分的電阻小於該第二部分;以及一光線感測區,設置於該基底中,該光線感測區用以感測通過該背表面而朝該光線感測區投射的一光線。本發明一實施例提供一種製作圖像感測元件的方法,包括提供一基底,具有一前側及一背側;於該基底中形成一光線感測區,該光線感測區用於感測通過該背側朝該光線感測區投射的一光線;以及在形成該光線感測區之後,以一種方式對該基底進行一退火工藝而使該基底靠近該背側的一部分被熔化。本發明可減少暗電流及白像素。


圖1顯示用以根據本發明一實施例製作背照式圖像感測元件的方法流程圖。圖2-圖6顯示根據圖1的方法的一實施例製作包含背照式圖像感測元件的裝置的一系列工藝局部剖面圖。圖7顯示一圖表,其顯示摻質濃度程度與進入基底的深度之間的關係,其中顯示所測量的摻質濃度程度。其中,附圖標記說明如下
11 -方法;
13、15、17 步驟;
30 -圖像感測元件;
32 -基底;
34 -前側;
36 -背側;
38 -厚度;
50 -像素;
60,61 隔離結構;
90 -光線感測區;
100 離子注入工藝;
140 內連線結構;
150 緩衝層;
160 承載基板;
170 薄化工藝;
180 厚度;
185 缺陷;
190 注入工藝;
210 退火工藝;
230 部分(或再結晶層
240 熔化深度;
250 區域;
260 抗反射層;
270 彩色濾光層;
280 微透鏡;
290 圖表;
300、301、302 曲線;
321,322 平臺區。
具體實施例方式
以下將詳細說明本發明實施例的製作與使用方式。然應注意的是,本發明提供許多可供應用的發明概念,其可以多種特定形式實施。文中所舉例討論的特定實施例僅為製造與使用本發明的特定方式,非用以限制本發明的範圍。此外,在不同實施例中可能使用重複的標號或標示。這些重複僅為了簡單清楚地敘述本發明,不代表所討論的不同實施例及 /或結構之間具有任何關連性。此外,當述及一第一材料層位於一第二材料層上或之上時, 包括第一材料層與第二材料層直接接觸或間隔有一或更多其他材料層的情形。為了簡單與清楚化,許多結構可能會繪成不同的尺寸。顯示於圖1的是用以根據本發明一實施例製作背照式圖像感測元件的方法11的流程圖。請參照圖1,方法11開始於步驟13,提供具有前側及背側的基底。方法11繼續進行至步驟15,於基底中形成光線感測區(radiation sensing region)。光線感測區可用以感測自背側朝光線感測區投射的光線。方法11繼續進行至步驟17,以一種方式對基底進行退火而使基底靠近背側的部分熔化。圖2-圖6顯示根據圖1的方法11的一實施例製作包含背照式圖像感測元件的裝置的一系列工藝局部剖面圖。應了解的是,圖2-圖6已簡化以更佳地了解本公開書的發明。請參照圖2,圖像感測元件30包括基底32,其也稱為元件基底(device substrate)。基底32為摻雜有P型摻質(p-type dopant)的矽基底(例如摻雜硼),在此情形下,基底32為P型基底(p-type substrate)。或者,基底32可為其他適合的半導體材料。例如,基底32可為摻雜有N型摻質(例如,磷或砷)的矽基底,在此情形下,基底32為N 型基底。基底32可包括其他的元素半導體,例如鍺及鑽石(diamond)。基底32可選擇性包括化合物半導體(compound semiconductor)及 / 或合金半導體(alloy semiconductor)。 此外,基底32可包括外延層(印itaxial layer, epi layer)、可受應變以增進效能、及可包括絕緣層上覆矽(SOI)結構。基底32具有前側(front side) 34及背側(back side) 36。為了促進接下來的討論,基底32顯示成垂直翻轉上側在下的形式。換言之,基底32顯示成前側34較靠近於圖2 的底部,而背側36較靠近圖2的頂部。前側34也可稱作前表面,而背側36也可稱作背表面。基底32具有初始厚度38,其介於近乎100 μ m及近乎3000 μ m之間。在一實施例中,初始厚度38近乎為700 μ m。基底32包括許多區域,其可包括像素陣列區(pixel-array region)、周邊區 (periphery region)、接墊區(bonding pad region)、及切害 Ij 線區(scribe line region)。 像素陣列區包含光線傳感像素的陣列(arrays of radiation-sensing pixels)。每一像素可包括光線感測元件(radiation-sensing device),其可感測或檢測具有特定波長的光線,其可相應於不同顏色的光線。周邊區包括需被保持光學上黑暗的元件。這些元件可為數字元件,例如是特定應用集成電路(application-specific integrated circuit,ASIC) 或單晶片系統(system-on-chip,S0C)元件。周邊區中的元件也可為參考像素(reference pixel),其用以建立圖像感測元件30的光線強度的基線(baseline)。接墊區為圖像感測元件30的一或多個接墊將被形成的位置。接墊允許電性連接建立於圖像感測元件30與外部裝置(external devices)之間。切割線區包括包含介於許多相鄰半導體裸片(dies)之間的邊界(boundaries)的區域。在之後的工藝中,切割線區被切穿以在裸片被封裝並以集成電路晶片作販賣之前,物理上地分離這些相鄰的裸片。為了簡化的目的,周邊區、接墊區、及切割線區不顯示。圖2僅顯示來自基底32的像素陣列區的一範例像素50。然而,可了解的是,任何數量的像素可能於像素陣列區中形成,且這些其他像素可能以不同於像素50的方式實施。像素50的概略邊界(approximate boundaries) 以虛線(broken curved lines)顯示於圖2中。於基底32中形成隔離結構(isolation structures)60及61以定義出像素50 的邊界。隔離結構60及61包括淺溝槽絕緣結構(STI features) 0或者,隔離結構60及 61可包括深溝槽絕緣結構(deep trench isolation features)或摻雜絕緣結構(doped isolation features)。也可了解的是,隔離結構60及61可包括淺溝槽絕緣結構、深溝槽絕緣結構、及摻雜絕緣結構的適當結合。在一實施例中,其中的隔離結構60及61為淺溝槽絕緣結構或深溝槽絕緣結構,它們是藉由自基底32的前側34蝕刻出開口(或溝槽),並接著於開口中填充介電材料(例如是氧化物材料、氮化物材料、或前述的組合)而形成。雖然為了簡化的目的而未顯示於圖中,但隔離結構60及61可由淺阱(shallow well)及深阱(de印well)所圍繞,淺阱及深阱可均具有與基底32相同的摻雜極性(doping polarity)。換言之,若基底32摻雜以P型摻質,那麼淺阱及深阱也摻雜以P型摻質,反之亦然。在其他實施例中,隔離結構60及61 包括摻雜絕緣結構,這些摻雜絕緣結構可藉由使用具有與光線感測區90相反的摻雜極性的摻質自前側34摻雜基底而形成。因此,若光線感測區90為N型,用以形成摻雜絕緣結構的摻質便為P型摻質。接著,於基底32中形成光線感測區(或元件)90以作為像素50的一部分。光線感測區90形成於隔離結構60與61之間。光線感測區90是藉由自前側34於基底32上進行離子注入工藝(ion implantation process) 100而形成。離子注入工藝100對基底32 注入具有與基底32相反的摻雜極性的摻質。例如,在一實施例中,基底32為P型基底,光線感測區90則摻雜以N型摻質。在另一實施例中,基底32為N型基底,光線感測區90則摻雜以P型摻質。在顯示於圖2的實施例中,光線感測區90鄰接或靠近基底32的前側34而形成。在另一實施例中,取決於所需設計及製作需求,光線感測區90可形成於離前側34更遠的較深處。光線感測區90的方位或位置可藉由調節離子注入工藝100的注入能量位階 (implantation energy level)而調整。例如,較高的注入能量位階造成較深的注入,其指光線感測區90形成於離前側34更遠的較深處。相似地,較低的注入能量位階造成光線感測區90形成在較接近前側34處。光線感測區90可用於感測或檢測通過基底32的背側36而朝光線感測區90投射的光波(radiation wave)。在一實施例中,光線感測區90包括固定式發光二極體(pinned photodiodes)。在其他實施例中,光線感測區90可包括其他形式的發光二極體、光柵 (photogates)、重置電晶體(reset transistors)、源極隨華禹極電晶體(source follower transistors)、或轉換電晶體(transfer transistors)。為了簡化的目的,光線感測區90 的結構細節未於附圖中繪出。在圖像感測元件30運作期間,可能發生噪聲,例如是交叉幹擾(cross-talk)。例如,電性交叉幹擾會發生於當電荷載流子自像素50散布/擴散至鄰近的像素(未顯示)之中時,反之亦然。作為其他例子,光學上的交叉幹擾會發生於當預設由一像素所接收的來自光波的光子最終被非預設的鄰近像素所接收。若聽任其不減弱,電性或光學上的交叉幹擾將降低圖像感測元件30的效能。在此,隔離結構60及61於像素50與相鄰像素之間提供足夠的隔離,因而大抵減輕電性及光學上的交叉幹擾。現請參照圖3,於基底32的前側34上形成內連線結構(interconnect structure) 1400內連線結構140包括多個圖案化介電層及圖案化導電層,其於圖像感測元件30的許多摻雜結構(doped features)、電路(circuitry)、及輸出/輸入(inout/ output)之間提供內連線(interconnections),例如是線路(wiring)。內連線結構140包括層間介電層(ILD)及多層內連線(multilayer interconnect, MLI)結構,其形成一種結構,例如是層間介電層分離並隔絕每一多層內連線結構與其他的多層內連線結構。多層內連線結構包括接點(contacts)、導電插塞(vias)、及形成於基底32上的金屬線路(metal lines)。在一實施例中,多層內連線結構可包括導電材料,例如是鋁、鋁/矽/銅合金、鈦、 氮化鈦、鎢、多晶矽、金屬矽化物、或前述的組合,其可稱作鋁內連線。鋁內連線可藉由包含物理氣相沉積(PVD)、化學氣相沉積(CVD)、或前述的組合的工藝而形成。其他形成鋁內連線的製造技術可包括光刻工藝及蝕刻工藝以將導電材料圖案化,以用作垂直連接(導電插塞(via)及接點(contact))及水平連接(導電線路(conductive line))。或者,可使用銅多層內連線來形成金屬圖案。銅內連線結構可包括銅、銅合金、鈦、氮化鈦、鉭、氮化鉭、鎢、多晶矽、金屬矽化物、或前述的組合。銅內連線可藉由包含化學氣相沉積、濺鍍 (sputtering)、電鍍(plating)、或其他適合工藝的技術而形成。於內連線結構140上形成緩衝層(buffer layer) 150。在一實施例中,緩衝層150 包括介電材料,例如氧化矽。或者,緩衝層150可選擇性包括氮化矽。緩衝層150藉由CVD、 PVD、或其他適合的技術而形成。藉由化學機械研磨(CMP)工藝以將緩衝層150平坦化而形
成平滑表面。接著,將承載基板(carrier substrate) 160接合至緩衝層150,使得基底32的背側36的工藝得以進行。承載基板160借著分子力(molecular forces)而接合至緩衝層 150。承載基板160可類似於基底32而包括矽材料。或者,承載基板160可選擇性包括玻璃基板。承載基板160對形成於基底32的前側34上的許多結構提供保護。承載基板160 還對基底32的背側36的工藝提供機械強度(mechanical strength)及支持(support),其將在以下作討論。可了解的是,可選擇性進行退火工藝以加強接合強度。緩衝層150提供基底32與承載基板160之間的電性絕緣。之後,進行薄化工藝(thinning process 或 thin down process) 170 以自背側 36 薄化基底32。在一實施例中,薄化工藝170包括化學機械研磨工藝。薄化工藝170也可包括鑽石擦洗工藝(diamond scrubbing process)、研磨工藝(grinding process)、或其他適合的工藝。大量的材料可藉由薄化工藝170而自基底32移除。在進行薄化工藝170之後, 基底32具有厚度180,其介於近乎Iym與近乎6 ym之間。薄化工藝170可能造成多個缺陷185出現在基底32中,尤其是接近基底32的背側36處。這些缺陷185可能相對深地延伸進基底32中,例如延伸進入基底32約數百納米(nm)。這些缺陷185可為物理缺陷(physical defects)或電性缺陷(electrical defects),且可捕捉載流子,例如電子。被捕捉的載流子(trapped carriers)可能產生漏電流(leakage current)。漏電流對於圖像傳感器(例如,圖像感測元件30)而言是個問題。例如,當有足夠量的漏電流時,光線感測區90可能錯誤地檢測「光線」,即使當圖像感測元件30放置於光學上黑暗的環境之中。換言之,像素50可能最終「感測到」光線,即使當其不應該感測到時(因實際上沒有光線)。在此情形下,漏電流可稱作「暗電流(dark current)」,而像素50可變成所謂的「白像素(white pixel)」。暗電流與白像素降低圖像感測元件30的效能,因而為不受歡迎的。先前的背照式圖像傳感器工藝可能無法充分地移除造成暗電流及白像素的缺陷185。比較地,本公開書的實施例提供大抵減少暗電流及白像素的解決方法。此技術將在之後詳細地討論。現請參照圖4,進行注入工藝190以通過背側36將摻質注入進基底32中。摻質可為多個摻質離子(dopant ions),例如硼離子、磷離子、或砷離子。使用於注入工藝190中的摻質的種類可取決於設計需求而變化。例如,若光線感測區90的有效光線感測面積需要擴大,那麼注入工藝190的摻質選擇成具有與光線感測區90相同的摻雜極性(因而具有與基底32相反的摻雜極性)。若需要增加載流子電位(carrier potential),那麼注入工藝 190的摻質選擇成具有與基底32相同的摻雜極性(因而具有與光線感測區90相反的摻雜極性)。在一實施例中,使用P型摻質,例如硼(B)或氟化硼(BF2)作為注入工藝190的摻質。注入能量介於近乎0. 1千電子伏特(KeV)與近乎50千電子伏特(KeV)之間。注入劑量(implantation dosage)介於近乎IxlO12原子/cm3與近乎IxlO15原子/cm3之間。現請參照圖5,對基底32的背側36進行退火工藝(annealing process) 210。在一實施例中,退火工藝210為雷射退火工藝(laser annealing process),並可到達足夠高的退火溫度以將基底32接近背側36的部分熔化。例如,在一實施例中,基底32包括矽,退火工藝210可到達近乎攝氏1414度的退火溫度,其溫度高到足以熔化矽。因此,基底32接近背側36的一部分230被熔化。部分230具有熔化深度M0,其測量自基底32的背側36。熔化深度240 —般與使用於退火工藝210中的退火時間(duration)及退火能量大小有關。較長的退火時間或較高的退火能量一般造成較深的熔化深度M0。因此,熔化深度240可藉由調整退火時間及能量而控制,但僅能控制某種程度。這部分是因為熔化深度240可能被停止於一最大熔化深度。 當到達最大熔化深度時,熔化深度240可能不會成長,即使增加退火時間或退火能量。其中一原因是基底32中的溫度在更深入基底32處(更遠離背側36處)會快速地衰減。在基底32的超出最大熔化深度的區域,在這些區域的溫度可能不足以高到能熔化矽。此外,實際重要的是,退火時間及退火能量可能受限於其他因素。例如,若熔化深度240過高,那麼像素50可能無法吸收及檢測具有相對短波長的光線,例如是藍光(其波長介於約450nm與490nm之間)。在另一例子中,工藝可能對圖像感測元件30分派熱預算 (thermal budget)。熱預算定義在升溫工藝期間,轉移至晶片(其上製造有圖像感測元件 30)的熱能總量。若傳送至晶片的熱能超出所分派的熱預算,晶片上的元件可能被破壞且可能變得無法運作。因此,退火時間及退火能量可能也實際上受限於可利用的熱預算,即使在理論最大熔化深度可到達之前。在此,注入工藝190(圖4)幫助退火工藝210的進行。尤其,藉由注入工藝190 而注入進入基底32的摻質將造成基底32具有較低的有效熔化溫度(effective melting temperature)及較高的熔化深度M0。換言之,因為基底32於接近背側36處被摻雜,實際的退火溫度可能不需到達1414°C才能熔化接近基底32的背側36處的矽,其幫助降低(或符合)熱預算。也因為注入,熔化部分230可達到較高的熔化深度MO (相較於若注入工藝190未曾進行的情形)。為了提供一些範例數值,在一實施例中,退火工藝210具有介於近乎10納秒 (nanoseconds,ns)與近乎1000納秒(ns)之間的退火時間,及具有介於近乎0. 5J/cm2與近乎5J/cm2之間的退火能量位階(annealing energy level)。在此實施例中,最終熔化深度 240介於近乎5nm與近乎200nm之間。退火工藝210造成基底32的部分230中的矽熔化並再結晶(recrystalize)。因此,部分230也可稱作再結晶層(recrystalized layer) 230。基於注入工藝190 (圖4),再結晶層230相較於基底32的其他部分明顯更加地重摻雜,例如多了數個數量級(several orders of magnitude),其中每多一數量級為多10倍。在一實施例中,再結晶層230的摻雜濃度程度為介於近乎IxlOw原子/cm3與近乎IxlO21原子/cm3之間。相較於未曾熔化的矽(例如基底32的介於再結晶層230與光線感測區90之間的區域250),再結晶層230還擁有其他不同的物理特性。例如,相較於區域250,再結晶層230具有不同程級的光激發螢光強度(photoluminescence intensity)。光激發螢光 (photoluminescence)是一種過程,其中一物質(例如,矽)將光子吸收進物質中,並接著自物質重新放射(re-emit)光子。在量子力學的觀點中,此現象可視為激發至較高能量狀態, 並接著回到較低的能量狀態。回到較低能量狀態的過程造成光子自物質放射。在一實施例中,再結晶層230較區域250具有較高的光激發螢光強度。在另一例子中,相較於未熔化的矽(例如,區域250),再結晶層230具有大抵較低的電阻(片電阻形式)。再結晶層230的電阻可能低了區域250的電阻數個數量級。在一些實施例中,再結晶層230的電阻可能甚至低於光線感測區90的電阻。在此所敘述的實施例相較於公知背照式圖像傳感器製造方法提供許多優點。然而,可了解的是,其他實施例可能提供不同的優點,且對於任何實施例而言,沒有特定的優點是必須的。其中一優點是在不犧牲熱預算的情形下,可達到較深的熔化深度。如以上所討論,可能有達到預定的熔化深度的需求,其可能需要某種程度的退火時間與能量。退火時間與能量的大小可能造成熱預算超出。在此,由於藉由注入工藝190的摻質注入減低矽的熔化溫度及增加熔化深度,可能完成更深的再結晶層230(再結晶矽層),即使僅使用小能量的雷射一段較短的時間。因此,不會超出熱預算。另一好處是熔化的矽釋放許多被捕捉的載流子,其與缺陷185有關。因此,再結晶層230中的缺陷185大抵減少。在此所敘述的實施例的另一優點是相對深的熔化深度240 大抵減少基底32中的缺陷185。因此,圖像感測元件30的暗電流的數量與白像素的數目也被減少。現請參照圖6,於再結晶層230上形成抗反射層(anti-reflective layer)沈0。抗反射層260用來減少朝背側36投射的光波(radiation wave)的反射。之後,於抗反射層 260上形成彩色濾光層(color filter) 270。彩色濾光層270可幫助具有特定範圍的波長的光波(其可相應於特定的光色,例如紅、綠、或藍)的過濾。因此,彩色濾光層270可用來僅允許具有特定顏色的光線到達光線感測區90。同時,其他相似於像素50的像素(未顯示)可具有濾光層,其經設計而使不同顏色的光線可由它們的相應光線感測區所檢測。為了達成特定波長帶(specific wavelength bands)的過濾,彩色濾光層270可包括染料基 (dye-based)(或顏料基(pigment-based))的高分子或樹脂。
在形成彩色濾光層270之後,於彩色濾光層270上形成微透鏡觀0,用以導引朝光感測區90投射的光線。微透鏡280可以許多排列方式放置,且具有許多形狀,其取決於微透鏡280所採用的材質的折射係數(refractive index)及與圖像感測元件30的表面間的距離。也可了解的是,可對每一其他未顯示的像素採用相似於微透鏡觀0的微透鏡。此外,雖然為了簡化的目的而未顯示於圖中,可了解的是,圖像感測元件30可包括電荷耦合元件(CCD)、互補式金屬氧化物半導體(CM0Q圖像傳感器(CIS)、有源像素傳感器(active-pixel sensor)、或無源像素傳感器(passive-pixel sensor)。圖像感測元件 30可還包括附加的電路及輸出/輸入,其鄰接像素(例如,像素50)而提供,用以提供像素的可操作環境及支援對像素的外部通信(external communication)。圖7為圖解圖表四0,其顯示摻質濃度程度如何隨進入基底的深度而變化,且隨退火能量的數量而變化。圖7還參照圖4-圖5作敘述。請參照圖7,圖表四0的X軸代表不同的進入基底的深度(cbpth into the substrate),其中每一深度測量自基底的背側。圖表四0的Y軸代表不同的摻質濃度程度(dopant concentration level)。在圖表四0中顯示有三個曲線300、301、及302。曲線300-302的數值取自使用不同製作方法所製作的背照式圖像感測元件樣品。每一曲線300-302均包括多個數值點,其中每一數值點關於其進入基底的深度(X軸的數值)及其相應的摻質濃度程度(Y軸的數值)。更詳細地,曲線300顯示摻質濃度數值對進入基底的深度的關係,其中未曾進行退火工藝210。曲線301顯示摻質濃度數值對進入基底的深度的關係,其中退火工藝使用近乎1. 85J/cm2的退火能量而進行。曲線302顯示摻質濃度數值對進入基底的深度的關係, 其中退火工藝使用近乎2. 05J/cm2的退火能量而進行。對於曲線300-302而言,進行了大抵相似的注入工藝。如可見於圖7,對於曲線300而言,摻質濃度程度隨著進入基底的深度的增加而快速地下降。由於未曾進行退火工藝210,基底的接近背側的部分未熔化。對基底的背側所進行的注入工藝可對基底注入進高濃度的摻質,尤其是基底的靠近背側的部分。但是,隨後的退火工藝不進行表示這些摻質離子被「困(stuck)」於它們所被注入的區域中。這解釋為何摻質濃度程度在接近背側處(進入基底的深度小的位置)非常高。事實上,當進入基底的深度小於近乎IOnm時,曲線300的摻質濃度程度可能甚至超出正常的飽和摻質濃度程度 (normal saturation dopant concentration level)0作為比較地,曲線301及302均具有稍微平坦的「平臺(platform) 」區(平坦帶 (flat band)),其分別以標號321及322標示。在每一這些平臺區321及322中,摻質濃度程度均看起來與進入基底的深度不具有任何關聯。反而,在每一平臺區321及322中,摻質濃度程度均不大幅度地變化。如圖7所示,在平臺區321中的摻質濃度程度看起來在平臺區321中僅以一個數量級的其他摻質濃度程度作變化。同樣的情形也發生於平臺區322。平臺區321及322的相對平坦是因為再結晶層中的矽的熔化與再結晶。當矽熔化而呈現液態形式,先前所被捕捉的摻質離子現溶解於矽中,並可以非常快的速度在液態矽中自由移動。在此方式中,摻質離子可從靠近背側的較重摻雜區重新分配至離背側較遠的低摻雜區。因此,摻質濃度程度可在跨過一定的距離(其可為再結晶層的熔化深度)中仍保持相對穩定。超過此距離,對於摻質離子要到達這些更深的區域可能更為困難。因此,摻質濃度程度開始隨著進入基底的深度的增加而快速地下降。換言之,超出再結晶層之後,摻質濃度程度與進入基底的深度反向相關(inversely correlated)。可了解的是,基於平臺區 321及322的相對高的摻質濃度程度,這些平臺區與大抵較低的電阻有關,相較於曲線301 及302的其他部分而言。也可看出曲線302的平臺區322較曲線301的平臺區321還長。這點至少部分是因為曲線302對應於較高的退火能量(2.05J/cm2對1.85J/cm2)。因此,相較於曲線301,曲線302可相應於較大的熔化深度M0。實驗結果已顯示,對於相應於曲線301的背照式圖像感測元件而言,其所測得的暗電流近乎9. 62電子/秒(electrons/second),而其白像素的數目近乎9415個。對於相應於曲線302的背照式圖像感測元件而言,其所測得的暗電流近乎5. 14電子/秒 (electrons/second),而其白像素的數目近乎6291個。因此,如上所討論,藉由在此所揭示的實施例所達到的較大熔化深度可大抵減少導致暗電流與白像素問題的缺陷。雖然本發明已以數個優選實施例揭示如上,然其並非用以限定本發明,任何本領域普通技術人員,在不脫離本發明的精神和範圍內,當可作任意的更動與潤飾,因此本發明的保護範圍當視所附的權利要求所界定的範圍為準。
權利要求
1.一種圖像感測元件,包括 一基底,具有一前側及一背側;一光線感測元件,形成於該基底中,該光線感測元件用以檢測通過該背側進入該基底的一光波;以及一再結晶矽層,形成於該基底的該背側上,該再結晶矽層具有與該基底的光激發螢光強度不同的光激發螢光強度。
2.如權利要求1所述的圖像感測元件,其中該再結晶矽層相較於該基底還重摻雜了至少一個數量級。
3.如權利要求1所述的圖像感測元件,其中該再結晶矽層的不同區域具有相應的摻雜濃度程度,所述摻雜濃度程度之間的差異在一個數量級之內;以及隨著進入該基底的一深度增加,該基底具有逐漸減少的摻雜濃度程度,進入該基底的該深度測量自該背側。
4.一種圖像感測元件,包括 一基底,具有一前表面;一背表面,與該前表面相反;以及互相不彼此包括的一第一部分及一第二部分,該第一部分鄰接該背表面而設置,該第一部分設置於該第二部分與該背表面之間,其中該第一部分的電阻小於該第二部分;以及一光線感測區,設置於該基底中,該光線感測區用以感測通過該背表面而朝該光線感測區投射的一光線。
5.如權利要求4所述的圖像感測元件,其中該基底的該第一部分的光激發螢光強度不同於該基底的該第二部分的光激發螢光強度。
6.如權利要求4所述的圖像感測元件,其中該基底的該第一部分的電阻小於該光線感測區的電阻。
7.如權利要求4所述的圖像感測元件,其中該基底的該第一部分具有一第一範圍的摻質濃度值; 該基底的該第二部分具有一第二範圍的摻質濃度值;以及該第一範圍中的每一摻質濃度值大於該第二範圍中的每一摻質濃度值。
8.如權利要求7所述的圖像感測元件,其中該第一範圍的摻質濃度值及該第二範圍的摻質濃度值測量於且相關於離該背表面的一相應距離;以及其中該基底的該第一部分的每一所述摻質濃度值均與所述相應距離無關,並且該第一部分的每一所述摻質濃度值彼此間的差異小於一個數量級之內;以及該基底的該第二部分的每一所述摻質濃度值均與所述相應距離反向相關。
9.一種製作圖像感測元件的方法,包括 提供一基底,具有一前側及一背側;於該基底中形成一光線感測區,該光線感測區用於感測通過該背側朝該光線感測區投射的一光線;以及在形成該光線感測區之後,以一種方式對該基底進行一退火工藝而使該基底靠近該背側的一部分被熔化。
10.如權利要求9所述的製作圖像感測元件的方法,還包括在該退火工藝之前,自該背側將一摻質注入進該基底之中,其中該注入與該退火工藝以一種方式進行而使得該基底被熔化的該部分為一第一部分,並具有第一範圍的摻質濃度值; 該基底的一第二部分與該第一部分互相不彼此包括,且該第二部分具有一第二範圍的摻質濃度值;以及該第一範圍中的每一摻質濃度值大於該第二範圍中的每一摻質濃度值。
全文摘要
本發明實施例提供一種圖像感測元件及其製作方法,該元件包括一基底,具有一前側及一背側;一光線感測元件,形成於該基底中,該光線感測元件用以檢測通過該背側進入該基底的一光波;以及一再結晶矽層,形成於該基底的該背側上,該再結晶矽層具有與該基底的光激發螢光強度不同的光激發螢光強度。本發明可減少暗電流及白像素。
文檔編號H01L27/146GK102237383SQ20101053548
公開日2011年11月9日 申請日期2010年11月4日 優先權日2010年4月23日
發明者劉人誠, 周耕宇, 莊俊傑, 杜友倫, 楊敦年, 王從建 申請人:臺灣積體電路製造股份有限公司

同类文章

一種新型多功能組合攝影箱的製作方法

一種新型多功能組合攝影箱的製作方法【專利摘要】本實用新型公開了一種新型多功能組合攝影箱,包括敞開式箱體和前攝影蓋,在箱體頂部設有移動式光源盒,在箱體底部設有LED脫影板,LED脫影板放置在底板上;移動式光源盒包括上蓋,上蓋內設有光源,上蓋部設有磨沙透光片,磨沙透光片將光源封閉在上蓋內;所述LED脫影

壓縮模式圖樣重疊檢測方法與裝置與流程

本發明涉及通信領域,特別涉及一種壓縮模式圖樣重疊檢測方法與裝置。背景技術:在寬帶碼分多址(WCDMA,WidebandCodeDivisionMultipleAccess)系統頻分復用(FDD,FrequencyDivisionDuplex)模式下,為了進行異頻硬切換、FDD到時分復用(TDD,Ti

個性化檯曆的製作方法

專利名稱::個性化檯曆的製作方法技術領域::本實用新型涉及一種檯曆,尤其涉及一種既顯示月曆、又能插入照片的個性化檯曆,屬於生活文化藝術用品領域。背景技術::公知的立式檯曆每頁皆由月曆和畫面兩部分構成,這兩部分都是事先印刷好,固定而不能更換的。畫面或為風景,或為模特、明星。功能單一局限性較大。特別是畫

一種實現縮放的視頻解碼方法

專利名稱:一種實現縮放的視頻解碼方法技術領域:本發明涉及視頻信號處理領域,特別是一種實現縮放的視頻解碼方法。背景技術: Mpeg標準是由運動圖像專家組(Moving Picture Expert Group,MPEG)開發的用於視頻和音頻壓縮的一系列演進的標準。按照Mpeg標準,視頻圖像壓縮編碼後包

基於加熱模壓的纖維增強PBT複合材料成型工藝的製作方法

本發明涉及一種基於加熱模壓的纖維增強pbt複合材料成型工藝。背景技術:熱塑性複合材料與傳統熱固性複合材料相比其具有較好的韌性和抗衝擊性能,此外其還具有可回收利用等優點。熱塑性塑料在液態時流動能力差,使得其與纖維結合浸潤困難。環狀對苯二甲酸丁二醇酯(cbt)是一種環狀預聚物,該材料力學性能差不適合做纖

一種pe滾塑儲槽的製作方法

專利名稱:一種pe滾塑儲槽的製作方法技術領域:一種PE滾塑儲槽一、 技術領域 本實用新型涉及一種PE滾塑儲槽,主要用於化工、染料、醫藥、農藥、冶金、稀土、機械、電子、電力、環保、紡織、釀造、釀造、食品、給水、排水等行業儲存液體使用。二、 背景技術 目前,化工液體耐腐蝕貯運設備,普遍使用傳統的玻璃鋼容

釘的製作方法

專利名稱:釘的製作方法技術領域:本實用新型涉及一種釘,尤其涉及一種可提供方便拔除的鐵(鋼)釘。背景技術:考慮到廢木材回收後再加工利用作業的方便性與安全性,根據環保規定,廢木材的回收是必須將釘於廢木材上的鐵(鋼)釘拔除。如圖1、圖2所示,目前用以釘入木材的鐵(鋼)釘10主要是在一釘體11的一端形成一尖

直流氧噴裝置的製作方法

專利名稱:直流氧噴裝置的製作方法技術領域:本實用新型涉及ー種醫療器械,具體地說是ー種直流氧噴裝置。背景技術:臨床上的放療過程極易造成患者的局部皮膚損傷和炎症,被稱為「放射性皮炎」。目前對於放射性皮炎的主要治療措施是塗抹藥膏,而放射性皮炎患者多伴有局部疼痛,對於止痛,多是通過ロ服或靜脈注射進行止痛治療

新型熱網閥門操作手輪的製作方法

專利名稱:新型熱網閥門操作手輪的製作方法技術領域:新型熱網閥門操作手輪技術領域:本實用新型涉及一種新型熱網閥門操作手輪,屬於機械領域。背景技術::閥門作為流體控制裝置應用廣泛,手輪傳動的閥門使用比例佔90%以上。國家標準中提及手輪所起作用為傳動功能,不作為閥門的運輸、起吊裝置,不承受軸向力。現有閥門

用來自動讀取管狀容器所載識別碼的裝置的製作方法

專利名稱:用來自動讀取管狀容器所載識別碼的裝置的製作方法背景技術:1-本發明所屬領域本發明涉及一種用來自動讀取管狀容器所載識別碼的裝置,其中的管狀容器被放在循環於配送鏈上的文檔匣或託架裝置中。本發明特別適用於,然而並非僅僅專用於,對引入自動分析系統的血液樣本試管之類的自動識別。本發明還涉及專為實現讀