新四季網

測試低功率系統中的狀態保留邏輯的製作方法

2023-09-12 10:30:05

專利名稱:測試低功率系統中的狀態保留邏輯的製作方法
技術領域:
本發明通常涉及集成電路(IC)且更特定來說涉及低功率IC系統。
背景技術:
具有多個功率域的ic設計變得越來越普遍,因為可通過暫時給晶片中不需要為
活動的區域(所謂的域)斷電來減少功率消耗。此類設計具有一個或一個以上可切換 功率域且可給這些域斷電以消除其中的靜態及動態功率耗散兩者。為確保域功率返回 到已知狀態,可切換域可包含狀態保留單元(例如,包含用於在功率變化期間保存狀 態值的保留元件的電路元件)。通常,狀態保留單元含有特別觸發器或鎖存器以在切
斷單元的主電力供應時保留所述單元的狀態。例如,參見第6,775,180、 7,091,766、 7,123,068、 7,164,301、 7,183,825及7,138,842號美國專利,所述專利中的每一者的全 文均以引用方式併入本文中。
在製造測試期間必須測試狀態保留單元(在一些背景中也稱作狀態保留功率選通 或SRPG單元)以確保其功能正確。SRPG單元必須能夠在電力關斷時將數據保留到 其封閉域,且一旦電力再導通,必須是可觀察到保留的數據。在此背景中,當周圍電 壓低於域的操作電壓時,認為所述域被斷開,以使得針對欲斷開的域,周圍電壓不必 處於0V。
但當前由自動測試圖案產生(ATPG)工具所產生的測試通常不以SRPG單元的 保留能力為目標。通常,這些測試僅以晶片中的結構缺陷(淨固定邏輯0值等)為目 標,而不嘗試測試邏輯的功能行為,包含(例如)含有SRPG單元的功率域的循環(例 如,關斷及再導通)以檢查所述單元是否保留狀態。例如,參見第7,065,724美國專利, 所述專利的全文以引用方式併入本文中。
因此,需要使用'功能'方法測試SRPG單元,所述'功能'方法除將涉及僅針 對結構缺陷進行測試之外還將涉及測試SRPG的狀態保留能力。更一般來說,需要改 善對具有用於在與ic相關的功率變化期間保存值的保留元件的IC的測試。

發明內容
在本發明的一個實施例中,測試集成電路(IC)的方法包含將數據序列加載到 保持數據值的電路元件鏈中,其中將至少一些電路元件的輸出連接到鄰近電路元件的 輸入,因此值在用於加載值的鏈輸入與用於卸載值的鏈輸出之間依序通過所述鏈,且
6第一電路元件(例如,電路元件中的任一者)包含用於在與IC相關的功率變化期間保 存值的保留元件。所述方法進一步包含將來自數據序列的值保存在保留元件中;及 存取所述保留元件以驗證來自數據序列的所述保存的值的準確性。
根據此實施例的一個方面,存取所述保留元件可包含使用所述保留元件來恢復用 於第一電路元件的值;及從所述電路元件鏈卸載值。
根據另一個方面,所述方法可進一步包含在存取所述保留元件之前將所述IC的 一部分轉換為斷電模式,其中所述IC的所述部分包含所述保留元件。
根據另一個方面,所述數據序列可以是第一數據序列且所述方法可進一步包含 在將所述值保存在保留元件中之後且在存取所述保留元件之前將第二數據序列加載到 所述鏈中,其中所述第二數據序列包含用於所述保留元件的不同於所述第一數據序列 的對應值的值。另外,關於此方面,第一及第二序列中的每一者均可包含大致一致的 值以用於減少對應於所述方法的功率消耗。
根據另一個方面,所述數據序列可以是第一數據序列且所述方法可進一步包含 在存取所述保留元件以驗證來自第一數據序列的所述保存的值的準確性之後,將第二 數據序列加載到所述鏈中,其中所述第二數據序列包含用於所述保留元件的不同於第 一數據序列的所述保存的值的值;將來自所述第二數據序列的不同值保存在所述保留 元件中;及存取所述保留元件以驗證來自第二數據序列的所述保存的不同值的準確性。 另外,關於此方面,第一及第二序列中的每一者均可包含大致一致的值以用於減少對 應於所述方法的功率消耗。
根據另一個方面,所述保留元件可包含用於存儲值的觸發器或鎖存器,及不相 依於與所述IC相關的功率變化的電源。
根據另一個方面,將數據序列加載到電路元件鏈中可包含針對至少一個電路元件 的設定操作或重設操作。即,除了在鏈輸入處加載值之外,可通過設定操作(例如, 設定為值1)或重設操作(例如,重設為值0)直接對一個或一個以上電路元件進行加 載。
根據另一個方面,所述鏈中的多個電路元件可各自包含用於在與所述IC相關的
功率變化期間保存值的保留元件,且所述方法可進一步包含將來自數據序列的值保 存在所述保留元件中;及存取所述保留元件以驗證來自數據序列的所述保存的值的準 確性。另外,關於此方面,以上相對於單個保留元件所述的特徵可應用於多個保留元 件。
額外的實施例涉及一種用於執行上述方法中的任一者的設備,其中所述設備包含 用於執行與所述方法相關的指令的計算機。例如,所述計算機可包含用於執行所述指 令中的至少一些指令的具有存儲器的處理器。另外地或另一選擇為,所述計算機可包 含用於執行所述指令中的至少一些指令的電路或其它專門硬體。額外的實施例還涉及 存儲(例如,有形地體現)用於與計算機一起執行上述方法中的任一者的電腦程式 的計算機可讀媒體。
7善對具有用於在與IC相關的功率變化期間保存 值的保留元件的IC的測試。


圖1顯示實例性狀態保留單元(或SRPG單元)。
圖2顯示包含多個電路元件的實例性IC設計,所述多個電路元件包含如圖1中 所圖解說明的狀態保留單元。
圖3顯示用於根據本發明實施例測試保留單元的方法。
圖4顯示用於根據本發明實施例測試保留單元的另一方法。
圖5顯示用於根據本發明實施例測試保留單元的另一方法。
具體實施例方式
圖l顯示包含兩個觸發器或"flop"(功能觸發器A 1004及保留觸發器B 1006)、 兩個多路復用器(MUX1及MUX2)及兩個反相器(INV1及INV2)的實例性狀態保 留單元(或SRPG單元)1002。多路復用器MUX1控制觸發器A是從功能路徑(通過 管腳Data)還是從掃描鏈中的先前觸發器(通過管腳Scan—In)獲得數據。當管腳 Scan—Enable處於邏輯0值時,觸發器A在功能路徑(Data-Q)上且當Scan_Enable處 於邏輯1值時,觸發器A在掃描路徑(Scan—In-Q)上。Restore—data管腳通常將處於 邏輯1,除非需要使觸發器B中的值在保留單元外部為可見。
觸發器B 1006是保留觸發器(或保留元件)且打算當切斷到保留單元的主電力 供應時保持狀態。 一旦通過功能管腳Data或者通過掃描管腳Scan一In,用初始狀態加 載觸發器A 1004,給Save一data管腳通脈衝以確保保留觸發器加載有相同狀態。在保 存操作之後,可關斷父域的電力。當恢復電力時,觸發器A可回到未知狀態。因此, 需要Restore—data管腳處於低值以使得可經由Q管腳在下遊邏輯處觀察到所存儲的狀 態。
現有方法通過執行針對結構缺陷的測試產生及將單元視為晶片內的任何其它邏 輯來測試保留單元1002。結構缺陷的一些實例是觸發器B 1006上的管腳QB錯誤地連 接到接地軌,MUX2的輸出管腳連接到電力軌等。典型的結構測試方法將是使圖1中 的觸發器A 1004成為掃描鏈的一部分同時將觸發器B 1006視為非掃描觸發器。然後, 使用通用故障模型(如固定故障及轉換故障)來估計缺陷的覆蓋範圍。例如,早先提 及的製造缺陷將類似於管腳QB具有固定0故障且MUX2的輸出管腳具有固定1故障。 這些與製造缺陷相關的故障也稱作靜態故障,而涉及定時延遲的故障稱作動態故障。 例如,參見美國專利5,546,408,所述專利的全文以引用方式併入本文中。
但完全覆蓋測試保留單元1002時的靜態故障不會保證其正確操作。可能的情況 是即使不存在靜態缺陷, 一旦對單元1002進行減電且接著恢復,恢復能力可能不正確地工作。類似考慮同樣應用於動態故障。例如,可能的情況是來自關斷及導通電力格 網的噪聲可致使保留邏輯丟失狀態值。
圖2顯示包含多個電路元件的實例性IC (集成電路)2002,所述多個電路元件包 含各自包含保留元件的SRPG觸發器2004及一般觸發器2006 (例如,不具有保留元 件的常規觸發器)。
圖2中的設計被分割成三個功率域(PD1、 PD2、 PD3) 2008。功率域是在正常操 作期間使用相同電力供應且可同時接通或斷開的設計中的實例集。所述設計具有三個 功率模式(PM1、 PM2、 PM3),其中功率模式是其中每一功率域以特定額定條件操 作的設計的靜態狀態。例如,在以下實例中的功率模式PM2中,功率域PD1斷開, 同時域PD2及PD3接通。還顯示所述實例中的SRPG觸發器2004,且在設計中其分 布在兩個掃描鏈之中第一掃描鏈2010 (SIl-SOl)及第二掃描鏈2012 (SI2-S02)。 還包含四個多路復用器2014以用於從系統的其餘部分繞過給其斷電的功率域(例如, 用於確保預測性)。例如,當功率域PD2關斷時,兩個最右邊的多路復用器2014可 用來繞過掃描鏈2010、 2012中的此功率域。
在此實例中,PD1包含四個SRPG觸發器,PD2包含兩個SRPG觸發器且PD3 包含六個SRPG觸發器。在這些功率域中的任一者中,可任意地將SRPG觸發器標示 為第一 SRPG、第二 SRPG等。注意,此處及其它處使用詞語"第一"及"第二"僅 用於標示目的且不打算表示任何特定空間或時間排序。此外,標示"第一"元件並不 暗示存在"第二"元件。
用於SRPG2004的測試的產生涉及跨越IC 2002的功率模式的轉換。為測試功率 域(例如,域PD1)內的SRPG單元,首先在含有所述域處於通電狀態的功率模式(例 如,模式PM1, PD1導通)期間必須用初始值加載(例如,在輸入管腳SIl、 SI2處掃 描輸入的數據)SRPG。然後,通過轉換到其中所述域處於其關斷狀態的功率模式(例 如,模式PM2, PD1關斷)給所述域斷電。此轉換可涉及用戶或其它系統要求所規定 的多個時鐘循環或等待時間。例如,可要求IC 2002在轉換之前處於新的功率模式中 特定時間周期。最後,系統轉換回到初始功率模式或其中所關注域回到通電狀態的模 式(例如,模式PM1, PD1導通)。然後,卸載(例如,在輸出管腳SOl、 S02處掃 描輸出)所保存的SRPG值且與先前掃描輸入的值進行比較。
圖3顯示根據本發明實施例用於測試IC 2002中的SRPG觸發器2004的實例性方 法3002。所述方法針對一組功率域(DU2008內存在的SRPG 2004,其中這些域在處於 功率模式PMx時通電且在處於功率模式PMy時斷電。
在方法3002的第一步驟3004中,進入使域PU 2008通電的功率模式PMx。在 下一步驟3006中,掃描輸入已知值到含有SRPG觸發器的掃描鏈2010、 2012中。在 下一步驟3008中,執行減電序列(轉換到使(Di》關斷的功率模式PMy)。此步驟3008 包含隔離導通域與關斷域、執行對加載到SRPG單元中的值的保留保存及減電域(Di)。 注意,隔離導通域是任選的且通常包含從可切換功率域邊界上的管腳消除不可預測值
9(例如,通過在掃描鏈中在功率域的退出點處使用值設定元件,圖2中未顯示)。 在下一步驟3010中,減電操作可包含其中斷電或另一選擇為其中發生一些功率 循環或功率變化的時間周期。在下一步驟3012中,執行加電序列(例如,轉換回到 PMx或使PU導通的功率模式)。此步驟3012包含加電域pi)、執行對保存在SRPG 單元中的值的恢復及去激活隔離邏輯(例如,在功率域的退出點處,圖2中未顯示)。 在下一步驟3014中,卸載掃描鏈2010、 2012以確保SRPG觸發器2004能夠保留所保 存的值。在此測試中通常忽略一般觸發器2006的值,但是如果期望其保持可預測值, 也可對其進行檢查。
以上方法3002僅指示在產生針對SRPG 2004的測試中將涉及的邏輯步驟。取決 於保留元件設計類型,如保留保存、恢復等的中間步驟可更複雜。例如, 一些設計類 型不具有保存信號(例如,圖1中的Save—data),因此去除'保留保存,步驟(例如, 作為減電序列3008的一部分)或者用等效步驟代替。此外, 一些設計類型可不具有任 何用於與"保留保存"及"恢復"相關的功能的清晰控制信號。
注意,可以此方式測試SRPG2004,只要其值可傳遞到可用數據值序列加載及卸 載的電路元件鏈或自其傳遞。在一些操作設定中,此可能需要將數據值傳遞到為電路 元件的一部分的保留元件或自其傳遞數據值的額外步驟。同樣,在一些操作設定中, 可通過設定操作(設定為值1)或重設操作(重設為值0)(通常通過特定來說針對這 些操作的管腳)直接將值加載到電路元件(例如,SRPG2004或常規觸發器2006)中。
通常,由於SRPG單元2004必須能夠保留0值及1值兩者,因此至少兩種模式 用於域內的所有SRPG的保留能力。可需要更多模式來測試整個設計中的SRPG。圖4 顯示根據本發明實施例的方法4002,其中使用兩種12位模式(例如,如更概括描述 的方法3002的掃描輸入步驟3006中)。加載大部分為0的第一 12位長掃描鏈模式 4004,後面是狀態保留、功率循環及卸載(例如,如圖3中)。然後,加載大部分為 1的第二 12位長掃描鏈4006,後面是狀態保留、功率循環及卸載。在比較所卸載的數 據與所加載的值時檢測到的任何錯誤將指示保留邏輯有問題。在掃描卸載過程期間, 通常將僅針對鏈中為SRPG單元的那些位進行比較。可忽略鏈的其它位中的值,因為 在完成加電操作之後其可處於不確定狀態。
掃描鏈模式4004、 4006的三個方面是值得注意的。第一,針對每一 SRPG單元 測試0值及1值兩者,因為所述模式具有用於每一位置的互補值。第二,通過在模式 中包含一些變化(例如,不同的0或1),掃描鏈模式針對與掃描鏈模式中的轉換相 關的錯誤進行測試。第三,每一序列具有大致一致的值(例如,大部分為O或大部分 為l),以使得在掃描測試中遇到相對少的轉換且減少功率消耗。
可將模式4004、 4006中的每一者描述為低功率掃描鏈測試。例如,第一模式4004 不僅允許SRPG測試,而且'0110'位的存在還允許貫穿掃描鏈位的所有可能轉換。 現有掃描鏈測試模式通常由'0110'的重複串組成,從而導致看起來像'011001100110' 的模式且可致使過渡功率消耗。然而,當功能觸發器1004加電到與保留觸發器1006相同的狀態時,此方法4002 可能檢測不到保留邏輯中的故障。圖5顯示根據本發明實施例的方法5002,其中加載 兩個額外的12位模式。加載大部分為0的第一12位長掃描鏈模式5004,後面是狀態 保留及功率循環。然後,加載大部分為1的互補模式5006,後面是恢復保留值及卸載 掃描鏈。然後,加載大部分為1的第二 12位長掃描鏈模式5008 (此處,與以上互補 模式5006相同),後面是狀態保留及功率循環。然後,如在測試的第一部分中,加載 大部分為0的互補模式5010 (此處,與以上模式5004相同),後面是恢復保留值及 卸載掃描鏈。
期望此方法5002 (其可適用於其中SRPG單元1002包含可不相依於保留觸發器 1006進行加載的功能觸發器1004的情況)檢測先前所述方法4002可能錯過的錯誤。 取決於操作設定的要求,可期望對方法4002、 5002兩者的修改。例如,用戶輸入可用 來控制所使用的模式的數目及每一模式內的變化程度(例如,輸入串的重複數目)。 另外,如果每一功能觸發器具有重設或設定管腳,那麼可使用此管腳代替加載兩個額 外的模式5006、 5010,儘管此通常將意指所有功能觸發器將被重設或設定為相同值。
其它操作考慮可涉及電力格網上的應力。例如,在大系統中恢復所保留的狀態期 間,當所有保留單元同時恢復時,可能不合需要地對電力格網產生應力。為避免此問 題,可緩衝或菊鏈連結恢復信號(例如,在圖1中的Restore—data管腳處)以使得並 非所有單元同時恢復。
儘管上述功率循環方法3002、 4002、 5002並不直接關注檢測SRPG單元1002內 的結構故障,但是也可檢測出晶片中的一些結構故障。例如,如果保留觸發器1004 上的管腳QB由於製造缺陷而固定在邏輯O值,那麼其可通過自動測試圖案產生器所 產生的結構測試檢測出。但此缺陷也可通過這些功率循環方法檢測出,因為所述缺陷 將防止保留觸發器1004中所保留的邏輯1值可在保留單元1002的Q管腳處觀察到。 在一些操作設定中,用於測試狀態保留邏輯的穩固測試方法可包含通過使用ATPG產 生的模式對SRPG進行結構測試以捕獲重大缺陷,後面是應用基於功率循環的測試以 確保保留功能性的適當操作。
額外的實施例涉及用於執行上述方法中的任一者的設備,其中所述設備包含用於 執行與所述方法相關的計算機指令的計算機。在此背景中,計算機可以是通用計算機, 包含(例如)處理器、存儲器、存儲裝置及輸入/輸出裝置(例如,鍵盤、顯示器、磁 盤驅動器、網際網路連接等)。然而,計算機可包含用於執行所述方法中的一些方面或 全部方面的電路或其它專門硬體。在一些操作設定中,可將設備配置為包含一個或一 個以上單元的系統,其中的每一單元經配置以在軟體、硬體或其某一組合中執行所述 方法的一些方面。
可將所述方法的結果的至少一些值保存在存儲器(例如,RAM(隨機存取存儲器)) 或者永久存儲裝置(例如,硬碟系統)中以用於以後使用。例如,可保存所加載及卸 載的模式以用於以後對IC的評估。另一選擇為,可根據操作設定的要求保存一些導數或和形式的結果(例如,個別或多個測試的結果)以用於以後使用。
可將所述方法的結果的至少一些值保存在存儲器(例如,RAM(隨機存取存儲器))
或者永久存儲裝置(例如,硬碟系統)以用於以後使用。例如,可直接保存來自比較
所加載及卸載的模式的結果以用於評估IC。另一選擇為,可根據操作設定要求保存一 些導數或和形式的結果(例如,多個測試的結果、原始加載及卸載的串等)。
額外的實施例還涉及存儲(例如,有形地體現)用於依靠計算機執行上述方法中 的任一者的電腦程式的計算機可讀媒體。例如,可以通用程式語言(例如,C、 C++) 或一些專門的專用語言寫入電腦程式。可以一些有用格式(例如,二進位、ASCII) 將電腦程式存儲為經編碼文件。
儘管上文僅詳細描述本發明的某些實例性實施例,但所屬領域的技術人員將易於 了解可在本質上不背離本發明新穎教示內容及優點的前提下對所述實例性實施例做眾 多修改。例如,以上所揭示的實施例的方面可組和為其它組和以形成額外的實施例。 因此,打算將所有此類修改包含在本發明範圍內。
1權利要求
1、一種測試集成電路(IC)的方法,其包括將數據序列加載到保持數據值的電路元件鏈中,其中將至少一些電路元件的輸出連接到鄰近電路元件的輸入,因此值在用於加載值的鏈輸入與用於卸載值的鏈輸出之間依序通過所述鏈,且第一電路元件包含用於在與所述IC相關的功率變化期間保存值的保留元件;將來自所述數據序列的值保存在所述保留元件中;及存取所述保留元件以驗證來自所述數據序列的所述保存的值的準確性。
2、 如權利要求1所述的方法,其中存取所述保留元件包含 使用所述保留元件來恢復用於所述第一電路元件的值;及 從所述電路元件鏈卸載值。
3、 如權利要求1所述的方法,其進一步包括在存取所述保留元件之前將所述IC的一部分轉換為斷電模式,其中所述IC的所 述部分包含所述保留元件。
4、 如權利要求1所述的方法,其中所述數據序列是第一數據序列且所述方法進一步包括在將所述值保存在所述保留元件中之後且在存取所述保留元件之前,將第二數據 序列加載到所述鏈中,其中所述第二數據序列包含用於所述保留元件的不同於所述第 一數據序列的對應值的值。
5、 如權利要求4所述的方法,其中所述第一及第二序列中的每一者包含大致一致的值以用於減少對應於所述方法 的功率消耗。
6、 如權利要求1所述的方法,其中所述數據序列是第一數據序列且所述方法進 一步包括-在存取所述保留元件以驗證來自所述第一數據序列的所述保存的值的所述準確 性之後,將第二數據序列加載到所述鏈中,其中所述第二數據序列包含用於所述保留 元件的不同於所述第一數據序列的所述保存的值的值;將來自所述第二數據序列的所述不同值保存在所述保留元件中;及存取所述保留元件以驗證來自所述第二數據序列的所述保存的不同值的準確性。
7、 如權利要求6所述的方法,其中所述第一及第二序列中的每一者包含大致一致的值以用於減少對應於所述方法 的功率消耗。
8、 如權利要求1所述的方法,其中所述保留元件包含用於存儲值的觸發器或 鎖存器,及不相依於與所述IC相關的所述功率變化的電源。
9、 如權利要求1所述的方法,其中將所述數據序列加載到所述電路元件鏈中包含針對至少一個電路元件的設定操作或重設操作。
10、 如權利要求l所述的方法,其中多個所述電路元件各自包含用於在與所述IC相關的功率變化期間保存值的保留元件,且所述方法進一步包括將來自所述數據序列的值保存在所述保留元件中;及存取所述保留元件以驗證來自所述數據序列的所述保存的值的準確性。
11、 一種用於測試集成電路(ic)的設備,所述設備包括用於執行計算機指令的計算機,其中所述計算機包含用於執行以下操作的計算機指令 將數據序列加載到保持數據值的電路元件鏈中,其中將至少一些電路元件的輸出連接到鄰近電路元件的輸入,因此值在用於加載 值的鏈輸入與用於卸載值的鏈輸出之間依序通過所述鏈,且第一電路元件包含用於在與所述ic相關的功率變化期間保存值的保留元件;將來自所述數據序列的值保存在所述保留元件中;及 存取所述保留元件以驗證來自所述數據序列的所述保存的值的準確性。
12、 如權利要求11所述的設備,其中所述計算機包含用於執行所述計算機指令 中的至少一些指令的具有存儲器的處理器。
13、 如權利要求11所述的設備,其中所述計算機包含用於執行所述計算機指令 中的至少一些指令的電路。
14、 如權利要求11所述的設備,其中所述計算機進一步包含用於執行以下操作 的計算機指令在存取所述保留元件之前將所述IC的一部分轉換為斷電模式,其中所述IC的所述部分包含所述保留元件。
15、 如權利要求11所述的設備,其中所述數據序列是第一數據序列且所述計算 機進一步包含用於執行以下操作的計算機指令在將所述值保存在所述保留元件中之後且在存取所述保留元件之前,將第二數據 序列加載到所述鏈中,其中所述第二數據序列包含用於所述保留元件的不同於所述第 一數據序列的對應值的值。
16、 如權利要求11所述的設備,其中所述數據序列是第一數據序列且所述計算 機進一步包含用於執行以下操作的計算機指令在存取所述保留元件以驗證來自所述第一數據序列的所述保存的值的所述準確 性之後將第二數據序列加載到所述鏈中,其中所述第二數據序列包含用於所述保留元 件的不同於所述第一數據序列的所述保存的值的值;將來自所述第二數據序列的所述不同值保存在所述保留元件中;及存取所述保留元件以驗證來自所述第二數據序列的所述保存的不同值的準確性。
17、 一種存儲用於測試集成電路(IC)的電腦程式的計算機可讀媒體,其中所述電腦程式包含用於執行以下操作的指令將數據序列加載到保持數據值的電路元件鏈中,其中將至少一些電路元件的輸出連接到鄰近電路元件的輸入,因此值在用於加載 值的鏈輸入與用於卸載值的鏈輸出之間依序通過所述鏈,且第一電路元件包含用於在與所述ic相關的功率變化期間保存值的保留元件;將來自所述數據序列的值保存在所述保留元件中;及 存取所述保留元件以驗證來自所述數據序列的所述保存的值的準確性。
18、 如權利要求17所述的計算機可讀媒體,其中所述電腦程式進一步包含用 於執行以下操作的指令在存取所述保留元件之前將所述IC的一部分轉換為斷電模式,其中所述IC的所 述部分包含所述保留元件。
19、 如權利要求17所述的計算機可讀媒體,其中所述數據序列是第一數據序列 且所述電腦程式進一步包含用於執行以下操作的指令在將所述值保存在所述保留元件中之後且在存取所述保留元件之前將第二數據 序列加載到所述鏈中,其中所述第二數據序列包含用於所述保留元件的不同於所述第 一數據序列的對應值的值。
20、如權利要求17所述的計算機可讀媒體,其中所述數據序列是第一數據序列 且所述電腦程式進一 步包含用於執行以下操作的指令在存取所述保留元件以驗證來自所述第一數據序列的所述保存的值的所述準確 性之後,將第二數據序列加載到所述鏈中,其中所述第二數據序列包含用於所述保留 元件的不同於所述第一數據序列的所述保存的值的值;將來自所述第二數據序列的所述不同值保存在所述保留元件中;及存取所述保留元件以驗證來自所述第二數據序列的所述保存的不同值的準確性。
全文摘要
一種測試集成電路(IC)的方法,其包含將數據序列加載到保持數據值的電路元件鏈中,其中將至少一些電路元件的輸出連接到鄰近電路元件的輸入,因此值在用於加載值的鏈輸入與用於卸載值的鏈輸出之間依序通過所述鏈,且第一電路元件包含用於在與所述IC相關的功率變化期間保存值的保留元件。所述方法進一步包含將來自所述數據序列的值保存在所述保留元件中;及存取所述保留元件以驗證來自所述數據序列的所述保存的值的準確性。
文檔編號G01R31/28GK101644742SQ200910150248
公開日2010年2月10日 申請日期2009年6月23日 優先權日2008年6月26日
發明者克裡希納·查克拉瓦達納努拉, 史蒂文·L·格雷戈爾, 派屈克·加拉格爾, 普尼特·阿羅拉, 維韋克·奇克馬內 申請人:益華公司

同类文章

一種新型多功能組合攝影箱的製作方法

一種新型多功能組合攝影箱的製作方法【專利摘要】本實用新型公開了一種新型多功能組合攝影箱,包括敞開式箱體和前攝影蓋,在箱體頂部設有移動式光源盒,在箱體底部設有LED脫影板,LED脫影板放置在底板上;移動式光源盒包括上蓋,上蓋內設有光源,上蓋部設有磨沙透光片,磨沙透光片將光源封閉在上蓋內;所述LED脫影

壓縮模式圖樣重疊檢測方法與裝置與流程

本發明涉及通信領域,特別涉及一種壓縮模式圖樣重疊檢測方法與裝置。背景技術:在寬帶碼分多址(WCDMA,WidebandCodeDivisionMultipleAccess)系統頻分復用(FDD,FrequencyDivisionDuplex)模式下,為了進行異頻硬切換、FDD到時分復用(TDD,Ti

個性化檯曆的製作方法

專利名稱::個性化檯曆的製作方法技術領域::本實用新型涉及一種檯曆,尤其涉及一種既顯示月曆、又能插入照片的個性化檯曆,屬於生活文化藝術用品領域。背景技術::公知的立式檯曆每頁皆由月曆和畫面兩部分構成,這兩部分都是事先印刷好,固定而不能更換的。畫面或為風景,或為模特、明星。功能單一局限性較大。特別是畫

一種實現縮放的視頻解碼方法

專利名稱:一種實現縮放的視頻解碼方法技術領域:本發明涉及視頻信號處理領域,特別是一種實現縮放的視頻解碼方法。背景技術: Mpeg標準是由運動圖像專家組(Moving Picture Expert Group,MPEG)開發的用於視頻和音頻壓縮的一系列演進的標準。按照Mpeg標準,視頻圖像壓縮編碼後包

基於加熱模壓的纖維增強PBT複合材料成型工藝的製作方法

本發明涉及一種基於加熱模壓的纖維增強pbt複合材料成型工藝。背景技術:熱塑性複合材料與傳統熱固性複合材料相比其具有較好的韌性和抗衝擊性能,此外其還具有可回收利用等優點。熱塑性塑料在液態時流動能力差,使得其與纖維結合浸潤困難。環狀對苯二甲酸丁二醇酯(cbt)是一種環狀預聚物,該材料力學性能差不適合做纖

一種pe滾塑儲槽的製作方法

專利名稱:一種pe滾塑儲槽的製作方法技術領域:一種PE滾塑儲槽一、 技術領域 本實用新型涉及一種PE滾塑儲槽,主要用於化工、染料、醫藥、農藥、冶金、稀土、機械、電子、電力、環保、紡織、釀造、釀造、食品、給水、排水等行業儲存液體使用。二、 背景技術 目前,化工液體耐腐蝕貯運設備,普遍使用傳統的玻璃鋼容

釘的製作方法

專利名稱:釘的製作方法技術領域:本實用新型涉及一種釘,尤其涉及一種可提供方便拔除的鐵(鋼)釘。背景技術:考慮到廢木材回收後再加工利用作業的方便性與安全性,根據環保規定,廢木材的回收是必須將釘於廢木材上的鐵(鋼)釘拔除。如圖1、圖2所示,目前用以釘入木材的鐵(鋼)釘10主要是在一釘體11的一端形成一尖

直流氧噴裝置的製作方法

專利名稱:直流氧噴裝置的製作方法技術領域:本實用新型涉及ー種醫療器械,具體地說是ー種直流氧噴裝置。背景技術:臨床上的放療過程極易造成患者的局部皮膚損傷和炎症,被稱為「放射性皮炎」。目前對於放射性皮炎的主要治療措施是塗抹藥膏,而放射性皮炎患者多伴有局部疼痛,對於止痛,多是通過ロ服或靜脈注射進行止痛治療

新型熱網閥門操作手輪的製作方法

專利名稱:新型熱網閥門操作手輪的製作方法技術領域:新型熱網閥門操作手輪技術領域:本實用新型涉及一種新型熱網閥門操作手輪,屬於機械領域。背景技術::閥門作為流體控制裝置應用廣泛,手輪傳動的閥門使用比例佔90%以上。國家標準中提及手輪所起作用為傳動功能,不作為閥門的運輸、起吊裝置,不承受軸向力。現有閥門

用來自動讀取管狀容器所載識別碼的裝置的製作方法

專利名稱:用來自動讀取管狀容器所載識別碼的裝置的製作方法背景技術:1-本發明所屬領域本發明涉及一種用來自動讀取管狀容器所載識別碼的裝置,其中的管狀容器被放在循環於配送鏈上的文檔匣或託架裝置中。本發明特別適用於,然而並非僅僅專用於,對引入自動分析系統的血液樣本試管之類的自動識別。本發明還涉及專為實現讀