新四季網

發送廣播信號的設備、接收廣播信號的設備、發送廣播信號的方法以及接收廣播信號的方法與流程

2023-06-13 23:10:01


本發明涉及用於發送廣播信號的設備、用於接收廣播信號的設備和用於發送和接收廣播信號的方法。
背景技術:
:隨著模擬廣播信號傳輸終止,正在開發用於發送/接收數字廣播信號的各種技術。數字廣播信號可以包括比模擬廣播信號更大量的視頻/音頻數據,並且進一步包括除了視頻/音頻數據之外的各種類型的附加數據。技術實現要素:技術問題即,數字廣播系統可以提供HD(高解析度)圖像、多聲道音頻和各種附加的服務。但是,用於大量數據傳輸的數據傳輸效率、考慮到移動接收設備的發送/接收的網絡的魯棒性和網絡靈活性對於數字廣播需要改進。因此,本發明針對發送用於未來廣播服務的廣播信號的設備和用於接收廣播信號的設備以及用於未來廣播服務的發送和接收廣播信號的方法。本發明的目的是為了提供用於發送廣播信號以在時域中復用提供兩個或者更多個不同廣播服務的廣播發送/接收系統的數據,以及通過相同的RF信號帶寬來發送復用的數據的設備和方法,以及與其相對應的用於接收廣播信號的方法。本發明的另一目的是為了提供用於發送廣播信號的設備、用於接收廣播信號的設備以及用於發送和接收廣播信號的方法,以通過組件分類與服務相對應的數據,發送與作為數據管道的每個組件相對應的數據,接收和處理數據。本發明的又一方面是為了提供用於發送廣播信號的設備、用於接收廣播信號的設備以及用於發送和接收廣播信號的方法,以用信號發送提供廣播信號所必需的信令信息。技術方案為了實現目的和其它的優點並且根據本發明的用途,如在此具體化和廣泛地描述的,一種接收廣播信號的方法,該方法包括:接收廣播信號;通過OFDM(正交頻分復用)方案來解調接收到的廣播信號;從解調的廣播信號來解析至少一個信號幀,其中信號幀包括與多個物理路徑中的每個相對應的服務數據;時間解交織在至少一個信號幀中的服務數據;解碼時間解交織的服務數據。有益效果本發明可以根據服務特徵處理數據以控制用於每個服務或者服務組件的QoS(服務質量),從而提供各種廣播服務。本發明可以通過經由相同的RF信號帶寬發送各種廣播服務來實現傳輸靈活性。本發明可以使用MIMO系統來改善數據傳輸效率並且提高廣播信號的發送/接收的魯棒性。根據本發明,可以提供廣播信號發送和接收方法以及設備,其甚至能夠與移動接收設備或者在室內環境中沒有錯誤地接收數字廣播信號。附圖說明附圖被包括以提供對本發明進一步的理解,並且被併入到本申請中且構成本申請書的一部分,附圖圖示本發明的實施例,並且與該說明書一起可以用以解釋本發明的原理。在附圖中:圖1圖示根據本發明的實施例的用於發送用於未來廣播服務的廣播信號的設備的結構。圖2圖示根據本發明的一個實施例的輸入格式化塊。圖3圖示根據本發明的另一個實施例的輸入格式化塊。圖4圖示根據本發明的另一個實施例的輸入格式化塊。圖5圖示根據本發明的實施例的BICM塊。圖6圖示根據本發明的另一個實施例的BICM塊。圖7圖示根據本發明的一個實施例的幀構建塊。圖8圖示根據本發明的實施例的OFDM生成塊。圖9圖示根據本發明的實施例的接收用於未來廣播服務的廣播信號的設備的結構。圖10圖示根據本發明的實施例的幀結構。圖11圖示根據本發明的實施例的幀的信令分層結構。圖12圖示根據本發明的實施例的前導信令數據。圖13圖示根據本發明的實施例的PLS1數據。圖14圖示根據本發明的實施例的PLS2數據。圖15圖示根據本發明的另一個實施例的PLS2數據。圖16圖示根據本發明的實施例的幀的邏輯結構。圖17圖示根據本發明的實施例的PLS映射。圖18圖示根據本發明的實施例的EAC映射。圖19圖示根據本發明的實施例的FIC映射。圖20圖示根據本發明的實施例的DP的類型。圖21圖示根據本發明的實施例的DP映射。圖22圖示根據本發明的實施例的FEC結構。圖23圖示根據本發明的實施例的比特交織。圖24圖示根據本發明的實施例的信元字(cell-word)解復用。圖25圖示根據本發明的實施例的時間交織。圖26圖示根據本發明的實施例的扭曲行列塊交織器的基本操作。圖27圖示根據本發明的另一實施例的扭曲行列塊交織器的操作。圖28圖示根據本發明的實施例的扭曲行列塊交織器的對角式(diagonal-wise)讀取圖案。圖29圖示根據本發明的實施例的來自於每個交織陣列的被交織的XFECBLOCK。圖30圖示根據本發明實施例的時間交織過程。圖31圖示根據本發明的另一實施例的時間交織過程。圖32圖示根據本發明實施例的生成TI輸出存儲器索引的過程。圖33圖示根據本發明實施例的時間解交織過程。圖34圖示根據本發明的另一實施例的時間解交織過程。圖35圖示根據本發明實施例的生成TDI輸出存儲器索引的過程。圖36是圖示根據本發明實施例的可變數據速率系統的原理圖。圖37圖示根據本發明的另一實施例的時間交織過程。圖38圖示根據本發明的另一實施例的生成TI輸出存儲器索引的過程。圖39是圖示根據本發明實施例的TI存儲器索引生成過程的流程圖。圖40圖示根據本發明的另一實施例的時間解交織過程。圖41圖示根據本發明的另一實施例的時間解交織過程。圖42圖示根據本發明的實施例的寫入方法。圖43是圖示根據本發明實施例的生成TDI存儲器索引的過程的流程圖。圖44是圖示根據本發明的實施例的可變數據速率系統的概念圖。圖45是圖示根據本發明的實施例的生成TDI存儲器索引的過程的流程圖。圖46圖示根據本發明的實施例的可變比特速率系統的概念。圖47圖示根據本發明的實施例的塊交織的寫入和讀取操作。圖48示出根據本發明的實施例的表示塊交織的等式。圖49圖示根據本發明的實施例的虛擬FEC塊。圖50示出根據本發明的實施例的表示在虛擬FEC塊的插入之後的讀取操作的等式。圖51是圖示根據本發明的實施例的時間交織過程的流程圖。圖52示出根據本發明的實施例的表示確定移位值和最大TI塊大小的過程的等式。圖53圖示根據本發明的實施例的寫入操作。圖54圖示根據本發明的實施例的寫入操作。圖55圖示根據本發明的實施例的讀取操作中的跳過操作的結果。圖56示出根據本發明的實施例的時間解交織的寫入過程。圖57圖示根據本發明的另一實施例的時間解交織的寫入過程。圖58示出根據本發明的另一實施例的表示時間解交織的讀取操作的等式。圖59是圖示根據本發明的實施例的時間解交織過程的流程圖。圖60是根據本發明的另一實施例的時間交織器的框圖。圖61是圖示扭曲塊交織操作的視圖。圖62圖示卷積交織操作。圖63圖示基於卷積交織器的讀取操作的輸出幀。圖64是根據本發明的實施例的時間解交織器的框圖。圖65是圖示時間交織器和時間解交織器的存儲器配置的視圖。圖66是圖示根據本發明的實施例的時間解交織操作的視圖。圖67是圖示根據本發明的實施例的時間交織器的結構的視圖。圖68是圖示在卷積交織之後執行的讀取操作的視圖。圖69是圖示根據本發明的實施例的時間解交織器的結構的視圖。圖70是圖示根據本發明的實施例的卷積解交織操作的視圖。圖71是圖示根據本發明的實施例的扭曲解交織操作的視圖。圖72是圖示根據本發明的實施例的用於接收廣播信號的方法的流程圖。具體實施方式現在將詳細地介紹本發明的優選實施例,其示例在附圖中圖示。詳細說明將在下面參考附圖給出,其旨在解釋本發明的示例性實施例,而不是僅示出可以根據本發明實現的實施例。以下的詳細說明包括特定的細節以便對本發明提供深入理解。但是,對於本領域技術人員來說顯而易見,實踐本發明可以無需這些特定的細節。雖然在本發明中使用的大多數術語已經從在本領域廣泛地使用的常規術語中選擇,但是某些術語已經由申請人任意地選擇,並且其含義在以下的描述中根據需要詳細說明。因此,本發明應該基於該術語所期望的含義理解,而不是其簡單的名稱或者含義理解。本發明提供用於發送和接收用於未來廣播服務的廣播信號的設備和方法。根據本發明的實施例的未來廣播服務包括陸地廣播服務、移動廣播服務、UHDTV服務等。本發明可以根據一個實施例經由非MIMO(多輸入多輸出)或者MIMO處理用於未來廣播服務的廣播信號。根據本發明的實施例的非MIMO方案可以包括MISO(多輸入單輸出)、SISO(單輸入單輸出)方案等。雖然在下文中為了描述方便起見,MISO或者MIMO使用兩個天線,但是本發明可適用於使用兩個或更多個天線的系統。本發明可以定義三個物理層(PL)簡檔(profile)-基礎、手持和高級簡檔-每個被優化以最小化接收器複雜度,同時獲得對於特定使用情形所需的性能。物理層(PHY)簡檔是相應的接收器將實施的所有配置的子集。三個PHY簡檔共享大部分功能塊,但是,在特定的模塊和/或參數方面略微地不同。另外的PHY簡檔可以在未來限定。對於系統演進,未來的屬性還可以經由未來的擴展幀(FEF)在單個RF信道中與現有的簡檔復用。每個PHY簡檔的細節在下面描述。1.基礎簡檔基礎簡檔表示對於通常連接到屋頂天線的固定的接收設備的主要使用情形。基礎簡檔還包括能夠運輸到一個場所,但是屬於相對固定接收類別的可攜式設備。基礎簡檔的使用可以通過某些改進的實施被擴展到手持設備或者甚至車輛,但是,對於基礎簡檔接收器操作不預期那些使用情況。接收的目標SNR範圍是從大約10到20dB,其包括現有的廣播系統(例如,ATSCA/53)的15dBSNR接收能力。接收器複雜度和功耗不像在電池操作的手持設備一樣嚴重,手持設備將使用手持簡檔。用於基礎簡檔的關鍵系統參數在以下的表1中列出。表1[表1]LDPC碼字長度16K,64K比特星座大小4~10bpcu(每個信道使用的比特)時間解交織存儲器大小≤219數據信元導頻圖案用於固定接收的導頻圖案FFT大小16K,32K點2.手持簡檔手持簡檔設計成在以電池電源操作的手持和車載設備中使用。該設備可以以行人或者車輛速度移動。功耗和接收器複雜度對於手持簡檔的設備的實施是非常重要的。手持簡檔的目標SNR範圍大約是0至10dB,但是,當意欲用於較深的室內接收時,可以配置為達到低於0dB。除了低的SNR能力之外,由接收器移動性所引起的都卜勒效應的適應性是手持簡檔最重要的性能品質。用於手持簡檔的關鍵系統參數在以下的表2中列出。表2[表2]LDPC碼字長度16K比特星座大小2~8bpcu時間解交織存儲器大小≤218數據信元導頻圖案用於移動和室內接收的導頻圖案FFT大小8K,16K點3.高級簡檔高級簡檔以更大的實施複雜度為代價提供最高的信道容量。該簡檔需要使用MIMO發送和接收,並且UHDTV服務是對該簡檔特別設計的目標使用情形。提高的容量還可以用於允許在給定帶寬提高服務數目,例如,多個SDTV或者HDTV服務。高級簡檔的目標SNR範圍大約是20至30dB。MIMO傳輸可以最初地使用現有的橢圓極化傳輸設備,並且在未來擴展到全功率橫向極化傳輸。用於高級簡檔的關鍵系統參數在以下的表3中列出。表3[表3]LDPC碼字長度16K,64K比特星座大小8~12bpcu時間解交織存儲器大小≤219數據信元導頻圖案用於固定接收的導頻圖案FFT大小16K,32K點在這樣的情況下,基礎簡檔能夠被用作用於陸地廣播服務和移動廣播服務兩者的簡檔。即,基礎簡檔能夠被用於定義包括移動簡檔的簡檔的概念。而且,高級簡檔能夠被劃分成用於具有MIMO的基礎簡檔的高級簡檔和用於具有MIMO的手持簡檔的高級簡檔。此外,根據設計者的意圖能夠改變三種簡檔。下面的術語和定義可以應用於本發明。根據設計能夠改變下面的術語和定義。輔助流:承載對於尚未定義的調製和編譯的數據的信元的序列,其可以被用於未來擴展或者通過廣播公司或者網絡運營商要求基本數據管道:承載服務信令數據的數據管道基帶幀(或者BBFRAME):形成對一個FEC編碼過程(BCH和LDPC編碼)的輸入的Kbch比特的集合信元:通過OFDM傳輸的一個載波承載的調製值編譯塊:PLS1數據的LDPC編碼的塊或者PLS2數據的LDPC編碼的塊中的一個數據管道:承載服務數據或者相關元數據的物理層中的邏輯信道,其可以承載一個或者多個服務或者服務組件。數據管道單元:用於在幀中將數據信元分配給DP的基本單位。數據符號:在幀中不是前導符號的OFDM符號(幀信令符號和幀邊緣符號被包括在數據符號中)DP_ID:此8比特欄位唯一地識別在通過SYSTME_ID識別的系統內的DP啞信元:承載被用於填充不被用於PLS信令、DP或者輔助流的剩餘的容量的偽隨機值的信元緊急警告信道:承載EAS信息數據的幀的部分幀:以前導開始並且以幀邊緣符號結束的物理層時隙幀重複單元:屬於包括FET的相同或者不同的物理層簡檔的幀的集合,其在超幀中被重複八次快速信息信道:在承載服務和相對應的基本DP之間的映射信息的幀中的邏輯信道FECBLOCK:DP數據的LDPC編碼的比特的集合FFT大小:被用於特定模式的標稱的FFT大小,等於在基礎時段T的周期中表達的活躍符號時段Ts幀信令符號:在FFT大小、保護間隔以及被分散的導頻圖案的某個組合中,在幀的開始處使用的具有較高的導頻密度的OFDM符號,其承載PLS數據的一部分幀邊緣符號:在FFT大小、保護間隔以及被分散的導頻圖案的某個組合中,在幀的末端處使用的具有較高的導頻密度的OFDM符號幀組:在超幀中具有相同的PHY簡檔類型的所有幀的集合。未來擴展幀:能夠被用於未來擴展的在超幀內的物理層時隙,以前導開始FuturecastUTB系統:提出的物理層廣播系統,其輸入是一個或者多個MPEG2-TS或者IP或者一般流,並且其輸出是RF信號輸入流:用於通過系統被傳遞給終端用戶的服務的全體的數據的流。正常數據符號:排除幀信令和幀邊緣符號的數據符號PHY簡檔:相對應的接收器應實現的所有配置的子集PLS:由PLS1和PLS2組成的物理層信令數據PLS1:在具有固定的大小、編譯和調製的FSS符號中承載的PLS數據的第一集合,其承載關於系統的基本信息以及解碼PLS2所需要的參數注意:PLS1數據在幀組的持續時間內保持恆定。PLS2:在FSS符號中發送的PLS數據的第二集合,其承載關於系統和DP的更多詳細PLS數據PLS2動態數據:可以動態地逐幀改變的PLS2數據PLS2靜態數據:在幀組的持續時間內保持靜態的PLS2數據前導信令數據:通過前導符號承載並且被用於識別系統的基本模式的信令數據前導符號:承載基本PLS數據並且位於幀的開始的固定長度的導頻符號注意:前導符號主要被用於快速初始帶掃描以檢測系統信號、其時序、頻率偏移、以及FFT大小。保留以便未來使用:本文檔沒有定義但是可以在未來定義超幀:八個幀重複單元的集合時間交織塊(TI塊):在其中執行時間交織的信元的集合,與時間交織器存儲器的一個使用相對應TI組:在其上執行用於特定DP的動態容量分配的單元,由整數組成,動態地改變XFECBLOCK的數目。注意:TI組可以被直接地映射到一個幀或者可以被映射到多個幀。其可以包含一個或者多個TI塊。類型1DP:其中所有的DP以TDM方式被映射到幀的幀的DP類型2DP:其中所有的DP以FDM方式被映射到幀的幀的DPXFECBLOCK:承載一個LDPCFECBLOCK的所有比特的Ncell個信元的集合圖1圖示根據本發明的實施例的用於發送用於未來廣播服務的廣播信號的設備的結構。根據本發明的實施例的用於發送用於未來廣播服務的廣播信號的設備可以包括輸入格式化塊1000、BICM(比特交織編譯和調製)塊1010、幀構建塊1020、OFDM(正交頻分復用)生成塊1030和信令生成塊1040。將給出用於發送廣播信號的設備的每個模塊的操作的描述。IP流/分組和MPEG2-TS是主要輸入格式,其它的流類型被作為常規流處理。除了這些數據輸入之外,管理信息被輸入以控制用於每個輸入流的相應的帶寬的調度和分配。一個或者多個TS流、IP流和/或常規流被同時允許輸入。輸入格式化塊1000能夠解復用每個輸入流為一個或者多個數據管道,對其中的每個應用單獨的編譯和調製。數據管道(DP)是用於魯棒控制的基本單位,從而影響服務質量(QoS)。一個或者多個服務或者服務組件可以由單個DP承載。稍後將描述輸入格式化塊1000的操作細節。數據管道是在承載服務數據或者相關的元數據的物理層中的邏輯信道,其可以承載一個或者多個服務或者服務組件。此外,數據管道單元:在幀中用於分配數據信元給DP的基本單位。在BICM塊1010中,奇偶校驗數據被增加用於糾錯,並且編碼的比特流被映射為複數值星座符號。該符號跨越用於相應的DP的特定交織深度被交織。對於高級簡檔,在BICM塊1010中執行MIMO編碼,並且另外的數據路徑被添加在輸出端用於MIMO傳輸。稍後將描述BICM塊1010的操作細節。幀構建塊1020可以將輸入DP的數據信元映射為在幀內的OFDM符號。在映射之後,頻率交織用於頻率域分集,特別地,用於抗擊頻率選擇性衰落信道。稍後將描述幀構建塊1020的操作細節。在每個幀的開始處插入前導之後,OFDM生成塊1030可以應用具有循環前綴作為保護間隔的常規的OFDM調製。對於天線空間分集,分布式MISO方案遍及發射器被應用。此外,峰值對平均功率降低(PAPR)方案在時間域中執行。對於靈活的網絡規劃,這個建議提供一組不同的FFT大小、保護間隔長度和相應的導頻圖案。稍後將描述OFDM生成塊1030的操作細節。信令生成塊1040能夠創建用於每個功能塊操作的物理層信令信息。該信令信息也被發送使得感興趣的服務在接收器側被適當地恢復。稍後將描述信令生成塊1040的操作細節。圖2、3和4圖示根據本發明的實施例的輸入格式化塊1000。將給出每個圖的描述。圖2圖示根據本發明的一個實施例的輸入格式化塊。圖2示出當輸入信號是單個輸入流時的輸入格式化模塊。在圖2中圖示的輸入格式化塊對應於參考圖1描述的輸入格式化塊1000的實施例。到物理層的輸入可以由一個或者多個數據流組成。每個數據流由一個DP承載。模式適配模塊將輸入數據流切片(slice)為基帶幀(BBF)的數據欄位。系統支持三種類型的輸入數據流:MPEG2-TS、網際網路協議(IP)和常規流(GS)。MPEG2-TS特徵為固定長度(188位元組)分組,第一字節是同步字節(0x47)。IP流由如在IP分組報頭內用信號傳送的可變長度IP數據報分組組成。系統對於IP流支持IPv4和IPv6兩者。GS可以由在封裝分組報頭內用信號傳送的可變長度分組或者固定長度分組組成。(a)示出用於信號DP的模式適配塊2000和流適配2010,並且(b)示出用於生成和處理PLS數據的PLS生成塊2020和PLS加擾器2030。將給出每個塊的操作的描述。輸入流分割器將輸入TS、IP、GS流分割為多個服務或者服務組件(音頻、視頻等)流。模式適配模塊2010由CRC編碼器、BB(基帶)幀切片器和BB幀報頭插入塊組成。CRC編碼器在用戶分組(UP)級別提供用於錯誤檢測的三種類型的CRC編碼,即,CRC-8、CRC-16和CRC-32。計算的CRC字節附加在UP之後。CRC-8用於TS流並且CRC-32用於IP流。如果GS流不提供CRC編碼,則將應用所建議的CRC編碼。BB幀切片器將輸入映射到內部邏輯比特格式。首先接收的比特被定義為是MSB。BB幀切片器分配等於可用數據欄位容量的輸入比特的數目。為了分配等於BBF有效載荷的輸入比特的數目,UP分組流被切片為適合BBF的數據欄位。BB幀報頭插入模塊可以將2個字節的固定長度BBF報頭插入在BB幀的前面。BBF報頭由STUFFI(1比特)、SYNCD(13比特)和RFU(2比特)組成。除了固定的2位元組BBF報頭之外,BBF還可以在2位元組BBF報頭的末端具有擴展欄位(1或者3位元組)。流適配2010由填充插入塊和BB加擾器組成。填充插入塊能夠將填充欄位插入到BB幀的有效載荷中。如果到流適配的輸入數據足夠填充BB幀,則STUFFI被設置為「0」,並且BBF沒有填充欄位。否則,STUFFI被設置為「1」,並且填充欄位被緊挨在BBF報頭之後插入。填充欄位包括兩個字節的填充欄位報頭和可變大小的填充數據。BB加擾器加擾完成的BBF用於能量擴散。加擾序列與BBF同步。加擾序列由反饋移位寄存器生成。PLS生成塊2020可以生成物理層信令(PLS)數據。PLS對接收器提供接入物理層DP的手段。PLS數據由PLS1數據和PLS2數據組成。PLS1數據是在具有固定大小的幀中在FSS符號中承載、編譯和調製的第一組PLS數據,其承載有關解碼PLS2數據需要的系統和參數的基本信息。PLS1數據提供包括允許PLS2數據的接收和解碼所需要的參數的基本傳輸參數。此外,PLS1數據在幀組的持續時間保持不變。PLS2數據是在FSS符號中發送的第二組PLS數據,其承載有關系統和DP的更加詳細的PLS數據。PLS2包含對接收器解碼期望的DP提供足夠的信息的參數。PLS2信令進一步由兩種類型的參數,PLS2靜態數據(PLS2-STAT數據)和PLS2動態數據(PLS2-DYN數據)組成。PLS2靜態數據是在幀組持續時間保持靜態的PLS2數據,並且PLS2動態數據是可以逐幀動態變化的PLS2數據。稍後將描述PLS數據的細節。PLS加擾器2030可以加擾所生成的PLS數據用於能量擴散。以上描述的塊可以被省略,或者由具有類似或者相同功能的塊替換。圖3圖示根據本發明的另一個實施例的輸入格式化塊。在圖3中圖示的輸入格式化塊對應於參考圖1描述的輸入格式化塊1000的實施例。圖3示出當輸入信號對應於多個輸入流時,輸入格式化塊的模式適配塊。用於處理多個輸入流的輸入格式化塊的模式適配塊可以獨立地處理多個輸入流。參考圖3,用於分別處理多個輸入流的模式適配塊可以包括輸入流分割器3000、輸入流同步器3010、補償延遲塊3020、空分組刪除塊3030、報頭壓縮塊3040、CRC編碼器3050、BB幀切片器(slicer)3060和BB報頭插入塊3070。將給出模式適配塊的每個塊的描述。CRC編碼器3050、BB幀切片器3060和BB報頭插入塊3070的操作對應於參考圖2描述的CRC編碼器、BB幀切片器和BB報頭插入塊的操作,並且因此,其描述被省略。輸入流分割器3000可以將輸入TS、IP、GS流分割為多個服務或者服務組件(音頻、視頻等)流。輸入流同步器3010可以稱為ISSY。ISSY可以對於任何輸入數據格式提供適宜的手段以保證恆定比特率(CBR)和恆定端到端傳輸延遲。ISSY始終用於承載TS的多個DP的情形,並且選擇性地用於承載GS流的多個DP。補償延遲塊3020可以在ISSY信息的插入之後延遲分割TS分組流,以允許TS分組重新組合機制而無需在接收器中額外的存儲器。空分組刪除塊3030僅用於TS輸入流情形。一些TS輸入流或者分割的TS流可以具有大量的空分組存在,以便在CBRTS流中提供VBR(可變比特速率)服務。在這種情況下,為了避免不必要的傳輸開銷,空分組可以被識別並且不被發送。在接收器中,通過參考在傳輸中插入的刪除的空分組(DNP)計數器,去除的空分組可以重新插入在它們最初的精確的位置中,從而,保證恆定比特速率,並且避免對時間戳(PCR)更新的需要。報頭壓縮塊3040可以提供分組報頭壓縮以提高用於TS或者IP輸入流的傳輸效率。因為接收器可以具有有關報頭的某個部分的先驗信息,所以這個已知的信息可以在發射器中被刪除。對於傳輸流,接收器具有有關同步字節配置(0x47)和分組長度(188位元組)的先驗信息。如果輸入TS流承載僅具有一個PID的內容,即,僅用於一個服務組件(視頻、音頻等)或者服務子組件(SVC基本層、SVC增強層、MVC基本視圖或者MVC相關的視圖),則TS分組報頭壓縮可以(選擇性地)應用於傳輸流。如果輸入流是IP流,則選擇性地使用IP分組報頭壓縮。以上描述的模塊可以被省略,或者由具有類似或者相同功能的塊替換。圖4圖示根據本發明的另一個實施例的輸入格式化塊。在圖4中圖示的輸入格式化模塊對應於參考圖1描述的輸入格式化塊1000的實施例。圖4圖示當輸入信號對應於多個輸入流時,輸入格式化模塊的流適配模塊。參考圖4,用於分別處理多個輸入流的模式適配模塊可以包括調度器4000、1-幀延遲塊4010、填充插入塊4020、帶內信令4030、BB幀加擾器4040、PLS生成塊4050和PLS加擾器4060。將給出流適配模塊的每個塊的描述。填充插入塊4020、BB幀加擾器4040、PLS生成塊4050和PLS加擾器4060的操作對應於參考圖2描述的填充插入塊、BB加擾器、PLS生成塊和PLS加擾器的操作,並且因此,其描述被省略。調度器4000可以從每個DP的FECBLOCK(FEC塊)的量確定跨越整個幀的整體信元分配。包括對於PLS、EAC和FIC的分配,調度器生成PLS2-DYN數據的值,其被作為在該幀的FSS中的PLS信元或者帶內信令發送。稍後將描述FECBLOCK、EAC和FIC的細節。1-幀延遲塊4010可以通過一個傳輸幀延遲輸入數據,使得有關下一個幀的調度信息可以經由用於帶內信令信息的當前幀發送以被插入DP中。帶內信令4030可以將PLS2數據的未延遲部分插入到幀的DP中。以上描述的塊可以被省略,或者由具有類似或者相同功能的塊替換。圖5圖示根據本發明的實施例的BICM塊。在圖5中圖示的BICM塊對應於參考圖1描述的BICM塊1010的實施例。如上所述,根據本發明的實施例的用於發送用於未來廣播服務的廣播信號的設備可以提供陸地廣播服務、移動廣播服務、UHDTV服務等。由於QoS(服務質量)取決於由根據本發明的實施例的用於發送用於未來廣播服務的廣播信號的設備提供的服務特徵,因此對應於相應服務的數據需要經由不同的方案處理。因此,根據本發明的實施例的BICM塊可以通過將SISO、MISO和MIMO方案獨立地應用於分別對應於數據路徑的數據管道,獨立地處理對其輸入的DP。因此,根據本發明的實施例的用於發送用於未來廣播服務的廣播信號的設備能夠控制經由每個DP發送的每個服務或者服務組件的QoS。(a)示出由基礎簡檔和手持簡檔共享的BICM塊,並且(b)示出高級簡檔的BICM模塊。由基礎簡檔和手持簡檔共享的BICM塊和高級簡檔的BICM塊能夠包括用於處理每個DP的多個處理塊。將給出用於基礎簡檔和手持簡檔的BICM塊和用於高級簡檔的BICM塊的每個處理模塊的描述。用於基礎簡檔和手持簡檔的BICM塊的處理塊5000可以包括數據FEC編碼器5010、比特交織器5020、星座映射器5030、SSD(信號空間分集)編碼塊5040和時間交織器5050。數據FEC編碼器5010能夠使用外編譯(BCH)和內編譯(LDPC)對輸入BBF執行FEC編碼,以生成FECBLOCK過程。外編譯(BCH)是可選的編譯方法。稍後將描述數據FEC編碼器5010的操作細節。比特交織器5020可以以LDPC編譯和調製方案的組合交織數據FEC編碼器5010的輸出以實現優化的性能,同時提供有效地可執行的結構。稍後將描述比特交織器5020的操作細節。星座映射器5030可以使用QPSK、QAM-16、不均勻QAM(NUQ-64、NUQ-256、NUQ-1024),或者不均勻星座(NUC-16、NUC-64、NUC-256、NUC-1024),在基礎和手持簡檔中調製來自比特交織器5020的每個信元字(cellword),或者在高級簡檔中來自信元字解復用器5010-1的信元字,以給出功率標準化的星座點el。該星座映射僅適用於DP。注意到,QAM-16和NUQ是正方形的形狀,而NUC具有任意形狀。當每個星座轉動90度的任意倍數時,轉動的星座精確地與其原始的一個重疊。這個「旋轉感」對稱屬性使實和虛分量的容量和平均功率彼此相等。對於每個碼率,NUQ和NUC兩者被具體地限定,並且使用的特定的一個由在PLS2數據中歸檔的參數DP_MOD用信號傳送。SSD編碼塊5040可以以二維(2D)、三維(3D)和四維(4D)預編碼信元以提高在困難的衰落條件之下的接收魯棒性。時間交織器5050可以在DP級別操作。時間交織(TI)的參數可以對於每個DP不同地設置。稍後將描述時間交織器5050的操作細節。用於高級簡檔的BICM塊的處理塊5000-1可以包括數據FEC編碼器、比特交織器、星座映射器,和時間交織器。但是,不同於處理塊5000,處理模塊5000-1進一步包括信元字解復用器5010-1和MIMO編碼模塊5020-1。此外,在處理塊5000-1中的數據FEC編碼器、比特交織器、星座映射器,和時間交織器的操作對應於描述的數據FEC編碼器5010、比特交織器5020、星座映射器5030,和時間交織器5050的操作,並且因此,其描述被省略。信元字解復用器5010-1用於高級簡檔的DP以將單個信元字流劃分為用於MIMO處理的雙信元字流。稍後將描述信元字解復用器5010-1操作的細節。MIMO編碼模塊5020-1可以使用MIMO編碼方案處理信元字解復用器5010-1的輸出。MIMO編碼方案對於廣播信號傳輸被優化。MIMO技術是獲得性能提高的期望方式,但是,其取決於信道特徵。尤其對於廣播,信道的強的LOS分量或者在由不同的信號傳播特徵所引起的兩個天線之間的接收信號功率的差別使得難以從MIMO得到性能增益。所提出的MIMO編碼方案使用MIMO輸出信號的一個的基於旋轉的預編碼和相位隨機化克服這個問題。MIMO編碼意欲用於在發射器和接收器兩者處需要至少兩個天線的2x2MIMO系統。在該建議下定義兩個MIMO編碼模式:全速率空間復用(FR-SM)和全速率全分集空間復用(FRFD-SM)。FR-SM編碼以在接收器側處相對小的複雜度增加提供性能提高,而FRFD-SM編碼以在接收器側處巨大的複雜度增加提供性能提高和附加分集增益。所提出的MIMO編碼方案沒有對天線極性配置進行限制。MIMO處理對於高級簡檔幀是需要的,其指的是由MIMO編碼器處理在高級簡檔幀中的所有DP。MIMO處理在DP級別適用。星座映射器對輸出NUQ(e1,i和e2,i)被饋送給MIMO編碼器的輸入。配對的MIMO編碼器輸出(g1,i和g2,i)由其相應的TX天線的相同的載波k和OFDM符號l發送。以上描述的模塊可以被省略或者由具有類似或者相同功能的模塊替換。圖6圖示根據本發明的另一個實施例的BICM塊。在圖6中圖示的BICM塊對應於參考圖1描述的BICM塊1010的實施例。圖6圖示用於保護物理層信令(PLS)、緊急警告信道(EAC)和快速信息信道(FIC)的BICM塊。EAC是承載EAS信息數據的幀的部分,並且FIC是在承載在服務和相應的基礎DP之間的映射信息的幀中的邏輯信道。稍後將描述EAC和FIC的細節。參考圖6,用於保護PLS、EAC和FIC的BICM塊可以包括PLSFEC編碼器6000、比特交織器6010和星座映射器6020。此外,PLSFEC編碼器6000可以包括加擾器、BCH編碼/零插入塊、LDPC編碼塊和LDPC奇偶刪餘塊。將給出BICM塊的每個塊的描述。PLSFEC編碼器6000可以編碼加擾的PLS1/2數據、EAC和FIC區段。加擾器可以在BCH編碼以及縮短和刪餘LDPC編碼之前加擾PLS1數據和PLS2數據。BCH編碼/零插入塊可以使用用於PLS保護的縮短的BCH碼,對加擾的PLS1/2數據執行外編碼,並且在BCH編碼之後插入零比特。僅對於PLS1數據,零插入的輸出比特可以在LDPC編碼之前轉置。LDPC編碼塊可以使用LDPC碼來編碼BCH編碼/零插入塊的輸出。為了生成完整的編譯塊,Cldpc、奇偶校驗比特、Pldpc從每個零插入的PLS信息塊Ildpc被系統編碼,並且附在其之後。數學公式1[數學式1]用於PLS1和PLS2的LDPC編碼參數如以下的表4。表4[表4]LDPC奇偶刪餘塊可以對PLS1數據和PLS2數據執行刪餘。當縮短被應用於PLS1數據保護時,一些LDPC奇偶校驗比特在LDPC編碼之後被刪餘。此外,對於PLS2數據保護,PLS2的LDPC奇偶校驗比特在LDPC編碼之後被刪餘。不發送這些被刪餘的比特。比特交織器6010可以交織每個被縮短和被刪餘的PLS1數據和PLS2數據。星座映射器6020可以將比特交織的PLS1數據和PLS2數據映射到星座上。以上描述的塊可以被省略或者由具有類似或者相同功能的塊替換。圖7圖示根據本發明的一個實施例的幀構建塊。在圖7中圖示的幀構建塊對應於參考圖1描述的幀構建塊1020的實施例。參考圖7,幀構建塊可以包括延遲補償塊7000、信元映射器7010和頻率交織器7020。將給出幀構建塊的每個塊的描述。延遲補償塊7000可以調整在數據管道和相應的PLS數據之間的時序以確保它們在發射器端共時(co-timed)。通過解決由輸入格式化塊和BICM塊所引起的數據管道的延遲,PLS數據被延遲與數據管道相同的量。BICM塊的延遲主要是由於時間交織器5050。帶內信令數據承載下一個TI組的信息,使得它們承載要用信號傳送的DP前面的一個幀。據此,延遲補償塊延遲帶內信令數據。信元映射器7010可以將PLS、EAC、FIC、DP、輔助流和啞信元映射到在該幀中的OFDM符號的活動載波。信元映射器7010的基本功能是,如果有的話,將對於DP、PLS信元、以及EAC/FIC信元中的每個由TI生成的數據信元映射到與幀內的OFDM符號內的每個相對應的活動OFDM信元。服務信令數據(諸如PSI(程序特定信息)/SI)能夠被單獨地收集並且通過數據管道發送。信元映射器根據由調度器生成的動態信息和幀結構的配置操作。稍後將描述該幀的細節。頻率交織器7020可以隨機地交織從信元映射器7010接收的數據信元以提供頻率分集。此外,頻率交織器7020可以使用不同的交織種子順序,對由兩個按次序的OFDM符號組成的特有的OFDM符號對進行操作,以得到在單個幀中最大的交織增益。以上描述的塊可以被省略或者由具有類似或者相同功能的塊替換。圖8圖示根據本發明的實施例的OFDM生成塊。在圖8中圖示的OFDM生成塊對應於參考圖1描述的OFDM生成塊1030的實施例。OFDM生成塊通過由幀構建塊生成的信元調製OFDM載波,插入導頻,並且生成用於傳輸的時間域信號。此外,這個塊隨後插入保護間隔,並且應用PAPR(峰均功率比)減少處理以生成最終的RF信號。參考圖8,OFDM生成塊能夠包括導頻和保留音插入塊8000、2D-eSFN編碼塊8010、IFFT(快速傅立葉逆變換)塊8020、PAPR減少塊8030、保護間隔插入塊8040、前導插入模塊8050、其它的系統插入塊8060和DAC塊8070。將給出幀構建塊的每個塊的描述。導頻和保留音插入塊8000可以插入導頻和保留音。在OFDM符號內的各種信元被以稱為導頻的參考信息調製,其具有在接收器中先前已知的發送值。導頻信元的信息由散布導頻、連續導頻、邊緣導頻、FSS(幀信令符號)導頻和FES(幀邊緣符號)導頻組成。每個導頻根據導頻類型和導頻圖案以特定的提升功率水平被發送。導頻信息的值是從參考序列中推導出的,其是一系列的值,其一個用於在任何給定符號上的每個被發送的載波。導頻可以用於幀同步、頻率同步、時間同步、信道估計和傳輸模式識別,並且還可用於跟隨相位噪聲。從參考序列中提取的參考信息在除了幀的前導、FSS和FES之外的每個符號中在散布的導頻信元中被發送。連續的導頻插入在幀的每個符號中。連續的導頻的編號和位置取決於FFT大小和散布的導頻圖案兩者。邊緣載波是在除前導符號之外的每個符號中的邊緣導頻。它們被插入以便允許頻率內插直至頻譜的邊緣。FSS導頻被插入在FSS中,並且FES導頻被插入在FES中。它們被插入以便允許時間內插直至幀的邊緣。根據本發明的實施例的系統支持SFN網絡,這裡分布式MISO方案被選擇性地用於支持非常魯棒傳輸模式。2D-eSFN是使用多個TX天線的分布式MISO方案,其每個在SFN網絡中位於不同的發射器位置。2D-eSFN編碼塊8010可以處理2D-eSFN處理以使從多個發射器發送的信號的相位失真,以便在SFN配置中創建時間和頻率分集兩者。因此,可以減輕由於低的平坦衰落或者對於長時間的深衰落引起的突發錯誤。IFFT塊8020可以使用OFDM調製方案調製來自2D-eSFN編碼塊8010的輸出。在沒有指定為導頻(或者保留音)的數據符號中的任何信元承載來自頻率交織器的數據信元的一個。該信元被映射到OFDM載波。PAPR減少塊8030可以使用在時間域中的各種PAPR減少算法對輸入信號執行PAPR減少。保護間隔插入塊8040可以插入保護間隔,並且前導插入塊8050可以在該信號的前面插入前導。稍後將描述前導的結構的細節。另一個系統插入塊8060可以在時間域中復用多個廣播發送/接收系統的信號,使得提供廣播服務的兩個或更多個不同的廣播發送/接收系統的數據可以在相同的RF信號帶寬中同時發送。在這種情況下,兩個或更多個不同的廣播發送/接收系統指的是提供不同廣播服務的系統。不同廣播服務可以指的是陸地廣播服務、移動廣播服務等。與相應的廣播服務相關的數據可以經由不同的幀發送。DAC塊8070可以將輸入數位訊號轉換為模擬信號,並且輸出該模擬信號。從DAC塊7800輸出的信號可以根據物理層簡檔經由多個輸出天線發送。根據本發明的實施例的Tx天線可以具有垂直或者水平極性。以上描述的塊可以被省略或者根據設計由具有類似或者相同功能的塊替換。圖9圖示根據本發明的實施例的用於接收用於未來廣播服務的廣播信號的設備的結構。根據本發明的實施例的用於接收用於未來廣播服務的廣播信號的設備可以對應於參考圖1描述的用於發送用於未來廣播服務的廣播信號的設備。根據本發明的實施例的用於接收用於未來廣播服務的廣播信號的設備可以包括同步和解調模塊9000、幀解析模塊9010、解映射和解碼模塊9020、輸出處理器9030和信令解碼模塊9040。將給出用於接收廣播信號的設備的每個模塊的操作的描述。同步和解調模塊9000可以經由m個Rx天線接收輸入信號,相對於與用於接收廣播信號的設備相對應的系統執行信號檢測和同步,並且執行與由用於發送廣播信號的設備執行的過程相反過程相對應的解調。幀解析模塊9010可以解析輸入信號幀,並且提取經由其發送由用戶選擇的服務的數據。如果用於發送廣播信號的設備執行交織,則幀解析模塊9010可以執行與交織的相反過程相對應的解交織。在這種情況下,需要提取的信號和數據的位置可以通過解碼從信令解碼模塊9040輸出的數據獲得,以恢復由用於發送廣播信號的設備生成的調度信息。解映射和解碼模塊9020可以將輸入信號轉換為比特域數據,並且然後根據需要對其解交織。解映射和解碼模塊9020可以對於為了傳輸效率應用的映射執行解映射,並且經由解碼校正在傳輸信道上生成的錯誤。在這種情況下,解映射和解碼模塊9020可以獲得為解映射所必需的傳輸參數,並且通過解碼從信令解碼模塊9040輸出的數據進行解碼。輸出處理器9030可以執行由用於發送廣播信號的設備應用以改善傳輸效率的各種壓縮/信號處理過程的相反過程。在這種情況下,輸出處理器9030可以從信令解碼模塊9040輸出的數據中獲得必要的控制信息。輸出處理器8300的輸出與輸入到用於發送廣播信號的設備的信號相對應,並且可以是MPEG-TS、IP流(v4或者v6)和常規流。信令解碼模塊9040可以從由同步和解調模塊9000解調的信號中獲得PLS信息。如上所述,幀解析模塊9010、解映射和解碼模塊9020和輸出處理器9030可以使用從信令解碼模塊9040輸出的數據執行其功能。圖10圖示根據本發明的一個實施例的幀結構。圖10示出幀類型的示例配置和在超幀中的FRU,(a)示出根據本發明的實施例的超幀,(b)示出根據本發明的實施例的FRU(幀重複單元),(c)示出在FRU中的可變PHY簡檔的幀,以及(d)示出幀的結構。超幀可以由八個FRU組成。FRU是用於幀的TDM的基本復用單元,並且在超幀中被重複八次。在FRU中的每個幀屬於PHY簡檔(基礎、手持、高級)中的一個或者FEF。在FRU中幀的最大允許數目是四個,並且給定的PHY簡檔可以在FRU(例如,基礎、手持、高級)中出現從零次到四次的任何次數。如果需要的話,PHY簡檔定義可以使用在前導中PHY_PROFILE的保留的值擴展。FEF部分被插入在FRU的末端,如果包括的話。當FEF包括在FRU中時,在超幀中FEF的最小數是8。不推薦FEF部分相互鄰近。一個幀被進一步劃分為許多的OFDM符號和前導。如(d)所示,幀包括前導、一個或多個幀信令符號(FSS)、正常數據符號和幀邊緣符號(FES)。前導是允許快速FuturecastUTB系統信號檢測並且提供一組用於信號的有效發送和接收的基本傳輸參數的特殊符號。稍後將描述前導的詳細說明。FSS的主要目的是承載PLS數據。為了快速同步和信道估計以及因此的PLS數據的快速解碼,FSS具有比正常數據符號更加密集的導頻圖案。FES具有與FSS嚴格相同的導頻,其允許在FES內的僅頻率內插,以及對於緊鄰FES之前的符號的時間內插而無需外推。圖11圖示根據本發明的實施例的幀的信令分層結構。圖11圖示信令分層結構,其被分割為三個主要部分:前導信令數據11000、PLS1數據11010和PLS2數據11020。由在每個幀中的前導符號承載的前導的目的是表示該幀的傳輸類型和基本傳輸參數。PLS1允許接收器訪問和解碼PLS2數據,其包含訪問感興趣的DP的參數。PLS2在每個幀中承載,並且被劃分為兩個主要部分:PLS2-STAT數據和PLS2-DYN數據。必要時,在PLS2數據的靜態和動態部分之後是填充。圖12圖示根據本發明的實施例的前導信令數據。前導信令數據承載需要允許接收器訪問PLS數據和跟蹤在幀結構內DP的21比特信息。前導信令數據的細節如下:PHY_PROFILE:該3比特欄位指示當前幀的PHY簡檔類型。不同的PHY簡檔類型的映射在以下的表5中給出。表5[表5]值PHY簡檔000基礎簡檔001手持簡檔010高級簡檔011~110保留111FEFFFT_SIZE:該2比特欄位指示在幀組內當前幀的FFT大小,如在以下的表6中描述的。表6[表6]值FFT大小008KFFT0116KFFT1032KFFT11保留GI_FRACTION:該3比特欄位指示在當前超幀中的保護間隔分數值,如在以下的表7中描述的。表7[表7]值GI_FRACTION0001/50011/100101/200111/401001/801011/160110~111保留EAC_FLAG:該1比特欄位指示在當前幀中是否提供EAC。如果該欄位被設置為「1」,則在當前幀中提供緊急警告服務(EAS)。如果該欄位被設置為「0」,在當前幀中沒有承載EAS。該欄位可以在超幀內動態地切換。PILOT_MODE:該1比特欄位指示對於當前幀組中的當前幀導頻圖案是移動模式還是固定模式。如果該欄位被設置為「0」,則使用移動導頻圖案。如果該欄位被設置為「1」,則使用固定導頻圖案。PAPR_FLAG:該1比特欄位指示對於當前幀組中的當前幀是否使用PAPR減少。如果該欄位被設置為值「1」,則音保留被用於PAPR減少。如果該欄位被設置為「0」,則不使用PAPR減少。FRU_CONFIGURE:該3比特欄位指示存在於當前超幀之中的幀重複單元(FRU)的PHY簡檔類型配置。在當前超幀中的所有前導中,在該欄位中識別在當前超幀中傳送的所有簡檔類型。3比特欄位對於每個簡檔具有不同的定義,如以下的表8所示。表8[表8]RESERVED:這個7比特欄位保留供將來使用。圖13圖示根據本發明的實施例的PLS1數據。PLS1數據提供包括允許PLS2的接收和解碼所需的參數的基本傳輸參數。如以上提及的,PLS1數據對於一個幀組的整個持續時間保持不變。PLS1數據的信令欄位的詳細定義如下:PREAMBLE_DATA:該20比特欄位是除去EAC_FLAG的前導信令數據的副本。NUM_FRAME_FRU:該2比特欄位指示每FRU的幀的數目。PAYLOAD_TYPE:該3比特欄位指示在幀組中承載的有效載荷數據的格式。PAYLOAD_TYPE如表9所示用信號傳送。表9[表9]值有效載荷類型1XX發送TS流X1X發送IP流XX1發送GS流NUM_FSS:該2比特欄位指示在當前幀中FSS符號的數目。SYSTEM_VERSION:該8比特欄位指示所發送的信號格式的版本。SYSTEM_VERSION被劃分為兩個4比特欄位,其是主要版本和次要版本。主要版本:SYSTEM_VERSION欄位的MSB四比特字節表示主要版本信息。在主要版本欄位中的變化表示非後向兼容的變化。預設值是「0000」。對於在這個標準下描述的版本,該值被設置為「0000」。次要版本:SYSTEM_VERSION欄位的LSB四比特字節表示次要版本信息。在次要版本欄位中的變化是後向兼容的。CELL_ID:這是在ATSC網絡中唯一地識別地理小區的16比特欄位。取決於每FuturecastUTB系統使用的頻率的數目,ATSC小區覆蓋區可以由一個或多個頻率組成。如果CELL_ID的值不是已知的或者未指定的,則該欄位被設置為「0」。NETWORK_ID:這是唯一地識別當前的ATSC網絡的16比特欄位。SYSTEM_ID:這個16比特欄位唯一地識別在ATSC網絡內的FuturecastUTB系統。FuturecastUTB系統是陸地廣播系統,其輸入是一個或多個輸入流(TS、IP、GS),並且其輸出是RF信號。如果有的話,FuturecastUTB系統承載一個或多個PHY簡檔和FEF。相同的FuturecastUTB系統可以承載不同的輸入流,並且在不同的地理區中使用不同的RF頻率,允許本地服務插入。幀結構和調度在一個位置中被控制,並且對於在FuturecastUTB系統內的所有傳輸是相同的。一個或多個FuturecastUTB系統可以具有相同的SYSTEM_ID含義,即,它們所有具有相同的物理層結構和配置。隨後的環路由FRU_PHY_PROFILE、FRU_FRAME_LENGTH、FRU_Gl_FRACTION和RESERVED組成,其用於表示FRU配置和每個幀類型的長度。環路大小是固定的,使得四個PHY簡檔(包括FEF)在FRU內被用信號傳送。如果NUM_FRAME_FRU小於4,則未使用的欄位用零填充。FRU_PHY_PROFILE:這個3比特欄位表示相關的FRU的第(i+1)(i是環索引)個幀的PHY簡檔類型。這個欄位使用如表8所示相同的信令格式。FRU_FRAME_LENGTH:這個2比特欄位表示相關聯的FRU的第(i+1)個幀的長度。與FRU_GI_FRACTION一起使用FRU_FRAME_LENGTH,可以獲得幀持續時間的精確值。FRU_GI_FRACTION:這個3比特欄位表示相關聯的FRU的第(i+1)個幀的保護間隔分數值。FRU_GI_FRACTION根據表7被用信號傳送。RESERVED:這個4比特欄位保留供將來使用。以下的欄位提供用於解碼PLS2數據的參數。PLS2_FEC_TYPE:這個2比特欄位表示由PLS2保護使用的FEC類型。FEC類型根據表10被用信號傳送。稍後將描述LDPC碼的細節。表10[表10]內容PLS2FEC類型004K-1/4和7K-3/10LDPC碼01~11保留PLS2_MOD:這個3比特欄位表示由PLS2使用的調製類型。調製類型根據表11被用信號傳送。表11[表11]值PLS2_MODE000BPSK001QPSK010QAM-16011NUQ-64100~111保留PLS2_SIZE_CELL:這個15比特欄位表示Ctotal_partial_block,用於在當前幀組中承載的PLS2的全編譯塊的聚集的大小(指定為QAM信元的數目)。這個值在當前幀組的整個持續時間期間是恆定的。PLS2_STAT_SIZE_BIT:這個14比特欄位以比特表示用於當前幀組的PLS2-STAT的大小。這個值在當前幀組的整個持續時間期間是恆定的。PLS2_DYN_SIZE_BIT:這個14比特欄位以比特表示用於當前幀組的PLS2-DYN的大小。這個值在當前幀組的整個持續時間期間是恆定的。PLS2_REP_FLAG:這個1比特標記表示是否在當前幀組中使用PLS2重複模式。當這個欄位被設置為值「1」時,PLS2重複模式被激活。當這個欄位被設置為值「0」時,PLS2重複模式被禁用。PLS2_REP_SIZE_CELL:當使用PLS2重複時,這個15比特欄位表示Ctotal_partial_block,用於在當前幀組的每個幀中承載的PLS2的部分編譯塊的聚集的大小(指定為QAM信元的數目)。如果不使用重複,則這個欄位的值等於0。這個值在當前幀組的整個持續時間期間是恆定的。PLS2_NEXT_FEC_TYPE:這個2比特欄位表示用於在下一個幀組的每個幀中承載的PLS2的FEC類型。FEC類型根據表10被用信號傳送。PLS2_NEXT_MOD:這個3比特欄位表示用於在下一個幀組的每個幀中承載的PLS2的調製類型。調製類型根據表11被用信號傳送。PLS2_NEXT_REP_FLAG:這個1比特標記表示是否在下一個幀組中使用PLS2重複模式。當這個欄位被設置為值「1」時,PLS2重複模式被激活。當這個欄位被設置為值「0」時,PLS2重複模式被禁用。PLS2_NEXT_REP_SIZE_CELL:當使用PLS2重複時,這個15比特欄位表示Ctotal_full_block,用於在下一個幀組的每個幀中承載的PLS2的全編譯塊的聚集的大小(指定為QAM信元的數目)。如果在下一個幀組中不使用重複,則這個欄位的值等於0。這個值在當前幀組的整個持續時間期間是恆定的。PLS2_NEXT_REP_STAT_SIZE_BIT:這個14比特欄位以比特表示用於下一個幀組的PLS2-STAT的大小。這個值在當前幀組中是恆定的。PLS2_NEXT_REP_DYN_SIZE_BIT:這個14比特欄位以比特表示用於下一個幀組的PLS2-DYN的大小。這個值在當前幀組中是恆定的。PLS2_AP_MODE:這個2比特欄位表示是否在當前幀組中為PLS2提供附加的奇偶校驗。這個值在當前幀組的整個持續時間期間是恆定的。以下的表12給出這個欄位的值。當這個欄位被設置為「00」時,對於在當前幀組中的PLS2不使用另外的奇偶校驗。表12[表12]值PLS2-AP模式00不提供AP01AP1模式10~11保留PLS2_AP_SIZE_CELL:這個15比特欄位表示PLS2的附加的奇偶校驗比特的大小(指定為QAM信元的數目)。這個值在當前幀組的整個持續時間期間是恆定的。PLS2_NEXT_AP_MODE:這個2比特欄位表示是否在下一個幀組的每個幀中為PLS2信令提供附加的奇偶校驗。這個值在當前幀組的整個持續時間期間是恆定的。表12定義這個欄位的值。PLS2_NEXT_AP_SIZE_CELL:這個15比特欄位表示在下一個幀組的每個幀中PLS2的附加的奇偶校驗比特的大小(指定為QAM信元的數目)。這個值在當前幀組的整個持續時間期間是恆定的。RESERVED:這個32比特欄位被保留供將來使用。CRC_32:32比特錯誤檢測碼,其應用於整個PLS1信令。圖14圖示根據本發明的實施例的PLS2數據。圖14圖示PLS2數據的PLS2-STAT數據。PLS2-STAT數據在幀組內是相同的,而PLS2-DYN數據提供對於當前幀特定的信息。PLS2-STAT數據的欄位的細節如下:FIC_FLAG:這個1比特欄位表示是否在當前幀組中使用FIC。如果這個欄位被設置為「1」,則在當前幀中提供FIC。如果這個欄位被設置為「0」,則在當前幀中不承載FIC。這個值在當前幀組的整個持續時間期間是恆定的。AUX_FLAG:這個1比特欄位表示是否在當前幀組中使用輔助流。如果這個欄位被設置為「1」,則在當前幀中提供輔助流。如果這個欄位被設置為「0」,在當前幀中不承載輔助流。這個值在當前幀組的整個持續時間期間是恆定的。NUM_DP:這個6比特欄位表示在當前幀內承載的DP的數目。這個欄位的值從1到64的範圍,並且DP的數目是NUM_DP+1。DP_ID:這個6比特欄位唯一地識別在PHY簡檔內的DP。DP_TYPE:這個3比特欄位表示DP的類型。這些根據以下的表13用信號傳送。表13[表13]值DP類型000DP類型1001DP類型2010~111保留DP_GROUP_ID:這個8比特欄位識別當前DP與其相關聯的DP組。這可以由接收器使用以訪問與特定服務有關的服務組件的DP,其將具有相同的DP_GROUP_ID。BASE_DP_ID:這個6比特欄位表示承載在管理層中使用的服務信令數據(諸如,PSI/SI)的DP。由BASE_DP_ID表示的DP可以或者是隨同服務數據一起承載服務信令數據的正常DP,或者僅承載服務信令數據的專用DP。DP_FEC_TYPE:這個2比特欄位表示由相關聯的DP使用的FEC類型。FEC類型根據以下的表14被用信號傳送。表14[表14]值FEC_TYPE0016KLDPC0164KLDPC10~11保留DP_COD:這個4比特欄位表示由相關聯的DP使用的碼率。碼率根據以下的表15被用信號傳送。表15[表15]值碼率00005/1500016/1500107/1500118/1501009/150101~111110/15011011/15011112/15100013/151001~1111保留DP_MOD:這個4比特欄位表示由相關聯的DP使用的調製。調製根據以下的表16被用信號傳送。表16[表16]值調製0000QPSK0001QAM-160010NUQ-640011NUQ-2560100NUQ-10240101NUC-160110NUC-640111NUC-2561000NUC-10241001~1111保留DP_SSD_FLAG:這個1比特欄位表示是否在相關聯的DP中使用SSD模式。如果這個欄位被設置為值「1」,則使用SSD。如果這個欄位被設置為值「0」,則不使用SSD。只有在PHY_PROFILE等於「010」時,其表示高級簡檔,出現以下的欄位:DP_MIMO:這個3比特欄位表示哪個類型的MIMO編碼過程被應用於相關聯的DP。MIMO編碼過程的類型根據表17用信號傳送。表17[表17]值MIMO編碼000FR-SM001FRFD-SM010~111保留DP_TI_TYPE:這個1比特欄位表示時間交織的類型。值「0」表示一個TI組對應於一個幀,並且包含一個或多個TI塊。值「1」表示一個TI組承載在一個以上的幀中,並且僅包含一個TI塊。DP_TI_LENGTH:這個2比特欄位(允許值僅是1、2、4、8)的使用通過在DP_TI_TYPE欄位內的值集合確定如下:如果DP_TI_TYPE被設置為值「1」,則這個欄位表示PI,每個TI組映射到的幀的數目,並且每個TI組存在一個TI塊(NTI=1)。被允許的具有2比特欄位的PI值被在以下的表18中定義。如果DP_TI_TYPE被設置為值「0」,則這個欄位表示每個TI組的TI塊NTI的數目,並且每個幀(PI=1)存在一個TI組。具有2比特欄位的允許的PI值被在以下的表18中定義。表18[表18]2比特欄位PINTI0011012210431184DP_FRAME_INTERVAL:這個2比特欄位表示在用於相關聯的DP的幀組內的幀間隔(IJUMP),並且允許的值是1、2、4、8(相應的2比特欄位分別地是「00」、「01」、「10」或者「11」)。對於該幀組的每個幀不會出現的DP,這個欄位的值等於在連續的幀之間的間隔。例如,如果DP出現在幀1、5、9、13等上,則這個欄位被設置為「4」。對於在每個幀中出現的DP,這個欄位被設置為「1」。DP_TI_BYPASS:這個1比特欄位確定時間交織器5050的可用性。如果對於DP沒有使用時間交織,則其被設置為「1」。而如果使用時間交織,則其被設置為「0」。DP_FIRST_FRAME_IDX:這個5比特欄位表示當前DP存在其中的超幀的第一幀的索引。DP_FIRST_FRAME_IDX的值從0到31的範圍。DP_NUM_BLOCK_MAX:這個10比特欄位表示用於這個DP的DP_NUM_BLOCKS的最大值。這個欄位的值具有與DP_NUM_BLOCKS相同的範圍。DP_PAYLOAD_TYPE:這個2比特欄位表示由給定的DP承載的有效載荷數據的類型。DP_PAYLOAD_TYPE根據以下的表19被用信號傳送。表19[表19]值有效載荷類型00TS01IP10GS11保留DP_INBAND_MODE:這個2比特欄位表示是否當前DP承載帶內信令信息。帶內信令類型根據以下的表20被用信號傳送。表20[表20]值帶內模式00沒有承載帶內信令01僅承載帶內PLS10僅承載帶內ISSY11承載帶內PLS和帶內ISSYDP_PROTOCOL_TYPE:這個2比特欄位表示由給定的DP承載的有效載荷的協議類型。當選擇輸入有效載荷類型時,其根據以下的表21被用信號傳送。表21[表21]DP_CRC_MODE:這個2比特欄位表示在輸入格式化塊中是否使用CRC編碼。CRC模式根據以下的表22被用信號傳送。表22[表22]值CRC模式00未使用01CRC-810CRC-1611CRC-32DNP_MODE:這個2比特欄位表示當DP_PAYLOAD_TYPE被設置為TS(「00」)時由相關聯的DP使用的空分組刪除模式。DNP_MODE根據以下的表23被用信號傳送。如果DP_PAYLOAD_TYPE不是TS(「00」),則DNP_MODE被設置為值「00」。表23[表23]值空分組刪除模式00未使用01DNP正常10DNP偏移11保留ISSY_MODE:這個2比特欄位表示當DP_PAYLOAD_TYPE被設置為TS(「00」)時由相關聯的DP使用的ISSY模式。ISSY_MODE根據以下的表24被用信號傳送。如果DP_PAYLOAD_TYPE不是TS(「00」),則ISSY_MODE被設置為值「00」。表24[表24]值ISSY模式00未使用01ISSY-UP10ISSY-BBF11保留HC_MODE_TS:這個2比特欄位表示當DP_PAYLOAD_TYPE被設置為TS(「00」)時由相關聯的DP使用的TS報頭壓縮模式。HC_MODE_TS根據以下的表25被用信號傳送。表25[表25]值報頭壓縮模式00HC_MODE_TS101HC_MODE_TS210HC_MODE_TS311HC_MODE_TS4HC_MODE_IP:這個2比特欄位表示當DP_PAYLOAD_TYPE被設置為IP(「01」)時的IP報頭壓縮模式。HC_MODE_IP根據以下的表26被用信號傳送。表26[表26]值報頭壓縮模式00無壓縮01HC_MODE_IP110~11保留PID:這個13比特欄位表示當DP_PAYLOAD_TYPE被設置為TS(「00」),並且HC_MODE_TS被設置為「01」或者「10」時,用於TS報頭壓縮的PID編號。RESERVED:這個8比特欄位保留供將來使用。只有在FIC_FLAG等於「1」時出現以下的欄位:FIC_VERSION:這個8比特欄位表示FIC的版本號。FIC_LENGTH_BYTE:這個13比特欄位以字節表示FIC的長度。RESERVED:這個8比特欄位保留供將來使用。只有在AUX_FLAG等於「1」時出現以下的欄位:NUM_AUX:這個4比特欄位表示輔助流的數目。零表示不使用輔助流。AUX_CONFIG_RFU:這個8比特欄位被保留供將來使用。AUX_STREAM_TYPE:這個4比特被保留供將來使用,用於表示當前輔助流的類型。AUX_PRIVATE_CONFIG:這個28比特欄位被保留供將來用於用信號傳送輔助流。圖15圖示根據本發明的另一個實施例的PLS2數據。圖15圖示PLS2數據的PLS2-DYN數據。PLS2-DYN數據的值可以在一個幀組的持續時間期間變化,而欄位的大小保持恆定。PLS2-DYN數據的欄位細節如下:FRAME_INDEX:這個5比特欄位表示在超幀內當前幀的幀索引。該超幀的第一幀的索引被設置為「0」。PLS_CHANGE_COUTER:這個4比特欄位表示配置將變化的前方超幀的數目。配置中具有變化的下一個超幀由在這個欄位內用信號傳送的值表示。如果這個欄位被設置為值「0000」,則這意味著預知沒有調度的變化:例如,值「1」表示在下一個超幀中存在變化。FIC_CHANGE_COUNTER:這個4比特欄位表示其中配置(即,FIC的內容)將變化的前方超幀的數目。配置中具有變化的下一個超幀由在這個欄位內用信號傳送的值表示。如果這個欄位被設置為值「0000」,則這意味著預知沒有調度的變化:例如,值「0001」表示在下一個超幀中存在變化。RESERVED:這個16比特欄位被保留供將來使用。在NUM_DP上的環路中出現以下的欄位,其描述與在當前幀中承載的DP相關聯的參數。DP_ID:這個6比特欄位唯一地表示在PHY簡檔內的DP。DP_START:這個15比特(或者13比特)欄位使用DPU尋址方案表示第一個DP的開始位置。DP_START欄位根據如以下的表27所示的PHY簡檔和FFT大小具有不同長度。表27[表27]DP_NUM_BLOCK:這個10比特欄位表示在用於當前DP的當前的TI組中FEC塊的數目。DP_NUM_BLOCK的值從0到1023的範圍。RESERVED:這個8比特欄位保留供將來使用。以下的欄位表示與EAC相關聯的FIC參數。EAC_FLAG:這個1比特欄位表示在當前幀中EAC的存在。這個比特在前導中是與EAC_FLAG相同的值。EAS_WAKE_UP_VERSION_NUM:這個8比特欄位表示喚醒指示的版本號。如果EAC_FLAG欄位等於「1」,隨後的12比特被分配用於EAC_LENGTH_BYTE欄位。如果EAC_FLAG欄位等於「0」,則隨後的12比特被分配用於EAC_COUNTER。EAC_LENGTH_BYTE:這個12比特欄位以字節表示EAC的長度。EAC_COUNTER:這個12比特欄位表示在EAC抵達的幀之前幀的數目。只有在AUX_FLAG欄位等於「1」時出現以下的欄位:AUX_PRIVATE_DYN:這個48比特欄位被保留供將來用於用信號傳送輔助流。這個欄位的含義取決於在可配置的PLS2-STAT中AUX_STREAM_TYPE的值。CRC_32:32比特錯誤檢測碼,其被應用於整個PLS2。圖16圖示根據本發明的實施例的幀的邏輯結構。如以上提及的,PLS、EAC、FIC、DP、輔助流和啞信元被映射到在幀中OFDM符號的活動載波。PLS1和PLS2被首先被映射到一個或多個FSS。然後,在PLS欄位之後,EAC信元,如果有的話,被直接地映射,接下來是FIC信元,如果有的話。在PLS或者EAC、FIC之後,接下來DP被映射,如果有的話。首先跟隨類型1DP,並且接下來類型2DP。稍後將描述DP的類型細節。在一些情況下,DP可以承載用於EAS的一些特定的數據或者服務信令數據。如果有的話,輔助流跟隨DP,其後跟隨啞信元。根據以上提及的順序,即,PLS、EAC、FIC、DP、輔助流和啞數據信元將它們映射在一起,精確地填充在該幀中的信元容量。圖17圖示根據本發明的實施例的PLS映射。PLS信元被映射到FSS的活動載波。取決於由PLS佔據的信元的數目,一個或多個符號被指定為FSS,並且FSS的數目NFSS由在PLS1中的NUM_FSS用信號傳送。FSS是用於承載PLS信元的特殊符號。由於魯棒性和延遲在PLS中是重要的問題,所以FSS具有允許快速同步的高密度導頻和在FSS內的僅頻率內插。PLS信元如在圖17中的示例所示以自頂向下方式被映射到NFSSFSS的活動載波。PLS1PLS1單元被以單元索引的遞增順序首先從第一FSS的第一單元映射。PLS2單元直接地跟隨在PLS1的最後的信元之後,並且繼續向下映射,直到第一FSS的最後的信元索引為止。如果需要的PLS信元的總數超過一個FSS的活動載波的數目,則映射進行到下一個FSS,並且以與第一FSS嚴格相同的方式繼續。在PLS映射完成之後,接下來承載DP。如果EAC、FIC或者兩者存在於當前幀中,則它們被放置在PLS和「正常」DP之間。圖18圖示根據本發明的實施例的EAC映射。EAC是用於承載EAS消息的專用信道,並且連結到用於EAS的DP。提供了EAS支持,但是,EAC本身可能或者可以不必存在於每個幀中。如果有的話,EAC緊挨著PLS2單元之後映射。除了PLS信元以外,EAC不在FIC、DP、輔助流或者啞信元的任何一個之前。映射EAC信元的過程與PLS完全相同。EAC信元被以如在圖18的示例所示的信元索引的遞增順序從PLS2的下一個信元映射。取決於EAS消息大小,EAC信元可以佔據幾個符號,如圖18所示。EAC信元緊跟在PLS2的最後的信元之後,並且繼續向下映射,直到最後的FSS的最後的信元索引為止。如果需要的EAC信元的總數超過最後的FSS的剩餘的活動載波的數目,則映射進行到下一個符號,並且以與FSS完全相同的方式繼續。在這種情況下,用於映射的下一個符號是正常數據符號,其具有比FSS更加有效的載波。在EAC映射完成之後,如果任何一個存在,則FIC被接下來承載。如果FIC不被發送(如在PLS2欄位中用信號傳送),則DP緊跟在EAC的最後信元之後。圖19圖示根據本發明的實施例的FIC映射(a)示出不具有EAC的FIC信元的示例映射,以及(b)示出具有EAC的FIC信元的示例映射。FIC是用於承載交叉層信息以允許快速服務獲得和信道掃描的專用信道。這個信息主要包括在DP和每個廣播器的服務之間的信道捆綁信息。為了快速掃描,接收器可以解碼FIC並獲得信息,諸如,廣播器ID、服務編號,和BASE_DP_ID。為了快速服務獲得,除了FIC之外,基礎DP可以使用BASE_DP_ID解碼。除其承載的內容以外,基礎DP被以與正常DP完全相同的方式編碼和映射到幀。因此,對於基礎DP不需要另外的描述。FIC數據在管理層中生成和消耗。FIC數據的內容在管理層規範中描述。FIC數據是可選的,並且FIC的使用由在PLS2的靜態部分中的FIC_FLAG參數用信號傳送。如果使用FIC,則FIC_FLAG被設置為「1」,並且用於FIC的信令欄位在PLS2的靜態部分中被定義。在這個欄位中用信號傳送的是FIC_VERSION和FIC_LENGTH_BYTE。FIC使用與PLS2相同的調製、編譯和時間交織參數。FIC共享相同的信令參數,諸如PLS2_MOD和PLS2_FEC。如果有的話,FIC數據緊挨著PLS2或者EAC之後被映射。FIC沒有被任何正常DP、輔助流或者啞信元引導。映射FIC信元的方法與EAC的完全相同,也與PLS的相同。在PLS之後不具有EAC,FIC信元被以如在(a)中的示例所示的信元索引的遞增順序從PLS2的下一個單元映射。取決於FIC數據大小,FIC信元可以被映射在幾個符號上,如(b)所示。FIC信元緊跟在PLS2的最後的信元之後,並且繼續向下映射,直到最後的FSS的最後的信元索引為止。如果需要的FIC信元的總數超過最後的FSS的剩餘的活動載波的數目,則映射進行到下一個符號,並且以與FSS完全相同的方式繼續。在這種情況下,用於映射的下一個符號是正常數據符號,其具有比FSS更加活躍的載波。如果EAS消息在當前幀中被發送,則EAC在FIC之前,並且FIC信元被以如(b)所示的信元索引的遞增順序從EAC的下一個單元映射。在FIC映射完成之後,一個或多個DP被映射,之後是輔助流,如果有的話,以及啞信元。圖20圖示根據本發明的實施例的DP的類型。(a)示出類型1DP和(b)示出類型2DP。在先前的信道,即,PLS、EAC和FIC被映射之後,DP的信元被映射。根據映射方法DP被分類為兩種類型中的一個:類型1DP:DP通過TDM映射類型2DP:DP通過FDM映射DP的類型由在PLS2的靜態部分中的DP_TYPE欄位表示。圖20圖示類型1DP和類型2DP的映射順序。類型1DP被以信元索引的遞增順序首先映射,然後,在達到最後的信元索引之後,符號索引被增加1。在下一個符號內,DP繼續以從p=0開始的信元索引的遞增順序映射。利用在一個幀中共同地映射的DP的數目,類型1DP的每個在時間上被分組,類似於DP的TDM復用。類型2DP被以符號索引的遞增順序首先映射,然後,在達到該幀的最後的OFDM符號之後,信元索引增加1,並且符號索引回朔到第一可用的符號,然後從該符號索引增加。在一個幀中一起映射DP的數目之後,類型2DP的每個被以頻率分組在一起,類似於DP的FDM復用。如果需要的話,類型1DP和類型2DP在幀中可以同時存在,有一個限制:類型1DP始終在類型2DP之前。承載類型1和類型2DP的OFDM信元的總數不能超過可用於DP傳輸的OFDM信元的總數。表達式2[表達式2]DDP1+DDP2≤DDP這裡DDP1是由類型1DP佔據的OFDM信元的數目,DDP2是由類型2DP佔據的信元的數目。由於PLS、EAC、FIC都以與類型1DP相同的方式映射,所以它們全部遵循「類型1映射規則」。因此,總的說來,類型1映射始終在類型2映射之前。圖21圖示根據本發明的實施例的DP映射。(a)示出尋址用於映射類型1DP的OFDM信元,並且(b)示出尋址用於供類型2DP映射的OFDM信元。用於映射類型1DP(0,…,DDP1-1)的OFDM信元的尋址限定用於類型1DP的活躍數據信元。尋址方案限定來自用於類型1DP的每個的T1的信元被分配給活躍數據信元的順序。其也用於在PLS2的動態部分中用信號傳送DP的位置。在不具有EAC和FIC的情況下,地址0指的是在最後的FSS中緊跟承載PLS的最後信元的信元。如果EAC被發送,並且FIC沒有在相應的幀中,則地址0指的是緊跟承載EAC的最後信元的信元。如果FIC在相應的幀中被發送,則地址0指的是緊跟承載FIC的最後的信元的信元。用於類型1DP的地址0可以考慮如(a)所示的兩個不同情形計算。在(a)的示例中,PLS、EAC和FIC假設為全部發送。對EAC和FIC的二者之一或者兩者被省略情形的擴展是明確的。如在(a)的左側所示在映射所有信元直到FIC之後,如果在FSS中存在剩餘的信元。用於映射類型2DP(0,…,DDP2-1)的OFDM信元的尋址被限定用於類型2DP的活躍數據信元。尋址方案限定來自用於類型2DP的每個的TI的信元被分配給活躍數據信元的順序。其也用於在PLS2的動態部分中用信號傳送DP的位置。如(b)所示的三個略微地不同的情形是可允許的。對於在(b)的左側上示出的第一情形,在最後的FSS中的信元可用於類型2DP映射。對於在中間示出的第二情形,FIC佔據正常符號的信元,但是,在該符號上FIC信元的數目不大於CFSS。除了在該符號上映射的FIC信元的數目超過CFSS之外,在(b)右側上示出的第三情形與第二情形相同。對類型1DP在類型2DP之前情形的擴展是簡單的,因為PLS、EAC和FIC遵循與類型1DP相同的「類型1映射規則」。數據管道單元(DPU)是用於在幀將數據信元分配給DP的基本單元。DPU被定義為用於將DP定位於幀中的信令單元。信元映射器7010可以映射對於各個DP通過TI生成的信元。時間交織器5050輸出一系列的TI塊並且各個TI塊包括繼而由一組信元組成的可變數目的XFECBLOCK。XFECBLOCK中的信元的數目Ncells取決於FECBLOCK大小Nldpc和每個星座符號的被發送的比特的數目。DPU被定義為在給定的PHY簡檔中支持的在XFECBLOCK中的信元的數目Ncells的所有可能的值中的最大的餘數。以信元計的DPU的長度被定義為LDPU。因為各個PHY簡檔支持FECBLOCK大小和每個星座符號的最大不同數目的比特的組合,所以基於PHY簡檔定義LDPU。圖22圖示根據本發明的實施例的FEC結構。圖22圖示在比特交織之前根據本發明的實施例的FEC結構。如以上提及的,數據FEC編碼器可以使用外編譯(BCH)和內編譯(LDPC)對輸入的BBF執行FEC編碼,以生成FECBLOCK過程。圖示的FEC結構對應於FECBLOCK。此外,FECBLOCK和FEC結構具有對應於LDPC碼字長度的相同的值。BCH編碼應用於每個BBF(Kbch比特),然後LDPC編碼應用於BCH編碼的BBF(Kldpc比特=Nbch比特),如在圖22中圖示的。Nldpc的值或者是64800比特(長FECBLOCK)或者16200比特(短FECBLOCK)。以下的表28和表29分別示出用於長FECBLOCK和短FECBLOCK的FEC編碼參數。表28[表28]表29[表29]BCH編碼和LDPC編碼的操作細節如下:12-糾錯BCH碼用於BBF的外編碼。用於短FECBLOCK和長FECBLOCK的BCH生成多項式通過所有多項式相乘在一起獲得。LDPC碼用於編碼外BCH編碼的輸出。為了生成完整的Bldpc(FECBLOCK),Pldpc(奇偶校驗比特)從每個Ildpc(BCH編碼的BBF)被系統編碼,並且附加到Ildpc。完整的Bldpc(FECBLOCK)表示為如下的表達式。表達式3[表達式3]用於長FECBLOCK和短FECBLOCK的參數分別在以上的表28和29中給出。計算用於長FECBLOCK的Nldpc–Kldpc奇偶校驗比特的詳細過程如下:1)初始化奇偶校驗比特,表達式4[表達式4]2)在奇偶校驗矩陣的地址的第一行中指定的奇偶校驗比特地址處累加第一信息比特i0。稍後將描述奇偶校驗矩陣的地址的細節。例如,對於速率13/15:表達式5[表達式5]3)對於接下來的359個信息比特,is,s=1、2、…359,使用以下的表達式在奇偶校驗位地址處累加is。表達式6[表達式6]{x+(smod360)×Qldpc}mod(Nldpc-Kldpc)這裡x表示對應於第一比特i0的奇偶校驗比特累加器的地址,並且QIdpc是在奇偶校驗矩陣的地址中指定的碼率相關的常數。繼續該示例,對於速率13/15,QIdpc=24,因此,對於信息比特i1,執行以下的操作:表達式7[表達式7]4)對於第361個信息比特i360,在奇偶校驗矩陣的地址的第二行中給出奇偶校驗比特累加器的地址。以類似的方式,使用表達式6獲得用於以下的359信息比特is的奇偶校驗比特累加器的地址,s=361、362、…719,這裡x表示對應於信息比特i360的奇偶校驗比特累加器的地址,即,在奇偶校驗矩陣的地址的第二行中的條目。5)以類似的方式,對於360個新的信息比特的每個組,從奇偶校驗矩陣的地址的新行用於找到奇偶校驗比特累加器的地址。在所有信息比特用盡之後,最後的奇偶校驗比特如下獲得:6)以i=1開始順序地執行以下的操作。數學式8[數學式8]這裡pi的最後的內容,i=0,1,...,NIdpc-KIdpc–1,等於奇偶校驗比特pi。表30[表30]碼率Qldpc5/151206/151087/15968/15849/157210/156011/154812/153613/1524除了以表31替換表30,並且以用於短FECBLOCK的奇偶校驗矩陣的地址替換用於長FECBLOCK的奇偶校驗矩陣的地址之外,用於短FECBLOCK的這個LDPC編碼過程是根據用於長FECBLOCK的LDPC編碼過程。表31[表31]碼率Qldpc5/15306/15277/15248/15219/151810/151511/151212/15913/156圖23圖示根據本發明的實施例的比特交織。LDPC編碼器的輸出被比特交織,其由奇偶交織、之後的準循環塊(QCB)交織和組內交織組成。(a)示出準循環塊(QCB)交織,並且(b)示出組內交織。FECBLOCK可以被奇偶交織。在奇偶交織的輸出處,LDPC碼字由在長FECBLOCK中180個相鄰的QC塊和在短FECBLOCK中45個相鄰的QC塊組成。在長或者短FECBLOCK中的每個QC塊由360比特組成。奇偶交織的LDPC碼字通過QCB交織來交織。QCB交織的單位是QC塊。在奇偶交織的輸出處的QC塊通過如在圖23中圖示的QCB交織重排列,這裡根據FECBLOCK長度,Ncells=64800/ηmod或者16200/ηmod。QCB交織模式是對調製類型和LDPC碼率的每個組合唯一的。在QCB交織之後,組內交織根據調製類型和階(ηmod)執行,其在以下的表32中限定。也限定用於一個組內的QC塊的數目NQCB_IG。表32[表32]調製類型ηmodNQCB_IGQAM-1642NUC-1644NUQ-6463NUC-6466NUQ-25684NUC-25688NUQ-1024105NUC-10241010組內交織過程以QCB交織輸出的NQCB_IGQC塊執行。組內交織具有使用360列和NQCB_IG行寫入和讀取組內的比特的過程。在寫入操作中,來自QCB交織輸出的比特是行式寫入。讀取操作是列式執行的,以從每個行讀出m比特,這裡對於NUC,m等於1,並且對於NUQ,m等於2。圖24圖示根據本發明的實施例的信元字解復用。圖24示出對於8和12bpcuMIMO的信元字解復用,和(b)示出對於10bpcuMIMO的信元字解復用。比特交織輸出的每個信元字(c0,l,c1,l,...,cηmod-1,l)被解復用為如(a)所示的(d1,0,m,d1,1,m...d1,ηmod-1,m)和(d2,0,m,d2,1,m...,d2,ηmod-1,m),其描述用於一個XFECBLOCK的信元字解復用過程。對於使用不同類型的NUQ用於MIMO編碼的10個bpcuMIMO情形,用於NUQ-1024的比特交織器被重新使用。比特交織器輸出的每個信元字(c0,l,c1,l...,c9,l)被解復用為(d1,0,m,d1,1,m...d1,3,m)和(d2,0,m,d2,1,m...d2,3,m),如(b)所示。圖25圖示根據本發明的實施例的時間交織。(a)至(c)示出TI模式的示例。時間交織器在DP級別操作。時間交織(TI)的參數可以對於每個DP不同地設置。在PLS2-STAT數據的部分中出現的以下參數配置TI:DP_TI_TYPE(允許的值:0或者1):表示TI模式;「0」表示每個TI組具有多個TI塊(一個以上的TI塊)的模式。在這種情況下,一個TI組被直接映射到一個幀(無幀間交織)。「1」表示每個TI組僅具有一個TI模塊的模式。在這種情況下,TI塊可以在一個以上的幀上擴展(幀間交織)。DP_TI_LENGTH:如果DP_TI_TYPE=「0」,則這個參數是每個TI組的TI塊的數目NTI。對於DP_TI_TYPE=「1」,這個參數是從一個TI組擴展的幀PI的數目。DP_NUM_BLOCK_MAX(允許的值:0至1023):表示每個TI組XFECBLOCK的最大數。DP_FRAME_INTERVAL(允許的值:1、2、4、8):表示在承載給定的PHY簡檔的相同的DP的兩個連續的幀之間的幀IJUMP的數目。DP_TI_BYPASS(允許的值:0或者1):如果對於DP沒有使用時間交織,則這個參數被設置為「1」。如果使用時間交織,則其被設置為「0」。另外,來自PLS2-DYN數據的參數DP_NUM_BLOCK用於表示由DP的一個TI組承載的XFECBLOCK的數目。當對於DP沒有使用時間交織時,不考慮隨後的TI組、時間交織操作,和TI模式。但是,將仍然需要來自調度器用於動態配置信息的延遲補償塊。在每個DP中,從SSD/MIMO編碼接收的XFECBLOCK被分組為TI組。即,每個TI組是整數個XFECBLOCK的集合,並且將包含動態可變數目的XFECBLOCK。在索引n的TI組中的XFECBLOCK的數目由NxBLocK_Group(n)表示,並且在PLS2-DYN數據中作為DP_NUM_BLOCK用信號傳送。注意到NxBLocK_Group(n)可以從最小值0到其最大的值是1023的最大值NxBLocK_Group_MAX(對應於DP_NUM_BLOCK_MAX)變化。每個TI組或者直接映射到一個幀上或者在PI個幀上擴展。每個TI組也被劃分為一個以上的TI模塊(NTI),這裡每個TI塊對應於時間交織器存儲器的一個使用。在TI組內的TI塊可以包含略微不同數目的XFECBLOCK。如果TI組被劃分為多個TI塊,則其被直接映射到僅一個幀。如以下的表33所示,存在對於時間交織的三個選項(除了跳過時間交織的額外的選項之外)。表33[表33]在每個DP中,TI存儲器存儲輸入的XFECBLOCK(來自SSD/MIMO編碼塊的輸出的XFECBLOCK)。假設輸入XFECBLOCK被限定為:這裡dn,s,r,q是在第n個TI組的第s個TI塊中的第r個XFECBLOCK的第q個信元,並且表示SSD和MIMO編碼的輸出如下:此外,假設來自時間交織器的輸出的XFECBLOCK被限定為:這裡hn,s,i是在第n個TI組的第s個TI塊中的第i個輸出單元(對於i=0,...,NxBLOCK_TI(n,s)×Ncells-1)。典型地,時間交織器也將起在幀建立過程之前用於DP數據的緩存器的作用。這是通過用於每個DP的兩個存儲庫實現的。第一TI塊被寫入第一存儲庫。第二TI塊被寫入第二存儲庫,同時第一存儲庫正在被讀取等。TI是扭曲的兩列塊交織器。對於第n個TI組的第s個TI塊,TI存儲器的行數Nr等於信元的數目Ncells,即,Nr=Ncells,同時列數Nc等於數目NxBL0CK_TI(n,s)。圖26圖示根據本發明的實施例的扭曲行-列塊交織器的基本操作。圖26(a)示出在時間交織器中的寫入操作,並且圖26(b)示出時間交織器中的讀取操作。第一XFECBLOCK以列方式寫入到TI存儲器的第一列,並且第二XFECBLOCK被寫入到下一列等等,如在(a)中所示。然而,在交織陣列中,信元以對角式被讀出。在從第一行(沿著以最左邊的列開始的行向右)到最後一行的對角式的讀取期間,Nr個信元被讀出,如在(b)中所示。詳細地,假定zn,s,i(i=0,...,NtNc)作為要被順序地讀取的TI存儲器單元位置,通過計算如下的表達的行索引Rn,S,i、列索引Cn,S,i以及被關聯的扭曲參數Tn,S,i執行以這樣的校正陣列的讀取過程。表達式9[表達式9]其中Sshift是用於對角式讀取過程的公共移位值,不論NxBLOCK_TI(n,s)如何,並且如以下表達,通過在PLS2-STAT中給出的NxBLOCK_TI(n,s)來確定。表達式10[表達式10]對於結果,通過作為Zn,s,i=NrCn,s,i+Rn,s,i的坐標計算要被讀出的信元位置。圖27圖示根據本發明的另一實施例的扭曲行-列塊交織器的操作。更加具體地,圖27圖示用於各個TI組的TI存儲器的交織陣列,包括當NxBLOCK_TI(0,0)=3、NxBLOCK_TI(1,0)=6、NxBLOCK_TI(2,0)=5時的虛擬XFECBLOCK。可變數目NxBLOCK_TI(n,s)=Nr將會小於或者等於N′xBLOCK_TI_MAX。因此,為了實現在接收器側處的單個存儲器解交織,不論NxBLOCK_TI(n,s)如何,通過將虛擬XFECBLOCK插入到TI存儲器用於在扭曲行-列塊交織器中使用的交織陣列被設置為Nr×Nc=Ncells×N′xBLOCK_TI_MAX的大小,並且如下面的表達完成讀取過程。表達式11[表達式11]TI組的數目被設置為3。通過DP_TI_TYPE=『0』、DP_FRAME_INTERVAL=『1』,以及DP_TI_LENGTH=『1』,即,NTI=1、IJUMP=1、以及PI=1,在PLS2-STAT數據中用信號傳送時間交織器的選項。每個TI組的其每個具有Ncells=30的XFECBLOCK的數目分別通過NxBLOCK_TI(0,0)=3、NxBLOCK_TI(1,0)=6、NxBLOCK_TI(2,0)=5在PLS2-DYN數據中用信號傳送。通過NxBLOCK_Groyp_MAx,在PLS-STAT數據中用信號傳送XFECBLOCK的最大數目,這導致圖28圖示根據本發明的實施例的扭曲行-列塊的對角式的讀取圖案。更加具體地,圖28示出來自於具有N′xBLOCK_TI_MAX=7並且Sshift=(7-1)/2=3的參數的各個交織陣列的對角式的讀取圖案。注意,在如上面的偽代碼示出的讀取過程中,如果Vj≥NcellsNxBLOCK_TI(n,s),則Vi的值被跳過並且使用下一個計算的Vi的值。圖29圖示根據本發明的實施例的用於各個交織陣列的被交織的XFECBLOCK。圖29圖示來自於具有N′xBLOCK_TI_MAX=7並且Sshift=3的參數的各個交織陣列的被交織的XFECBLOCK。圖30示出根據本發明實施例的時間交織過程。如上所述,包括在根據本發明實施例的廣播信號發射器中的時間交織器(或時間交織器塊)交織屬於時域中的多個FEC塊的信元並且輸出交織的信元。TI組是執行用於特定DP的動態容量分配、由整數動態可變數量的FEC塊組成的單位。時間交織塊(TI塊)是在其內執行時間交織的信元的集合,對應於時間交織存儲器的一次使用。FEC塊可以是DP數據的編碼位的集合或承載所有編碼位的信元數的集合。每個TI組或被直接映射到一幀上或分布在多個幀上。每個TI組還被劃分成1個以上TI塊,其中,每個TI塊對應於時間交織存儲器的一次使用。TI組內的TI塊可以包含稍微不同數量的FEC塊。通過時間交織,在對應於時間交織深度的特定時段中,分布地發射FEC塊的信元,由此能獲得分集增益。根據本發明實施例的時間交織器在DP級操作。此外,根據本發明實施例的時間交織器能執行包括在預定存儲器中順序地排列不同輸入FEC塊的寫入操作並且在對角方向中交織FEC塊的對角讀取操作的時間交織。根據本發明實施例的時間交織可以稱為對角型時間交織或對角型TI。典型地,在幀建立的過程之前,時間交織器還將充當用於DP數據的緩衝器。這通過用於每個DP的兩個存儲庫來實現。第一TI塊寫入到第一存儲庫。第二TI塊寫入到第二存儲庫同時讀取第一存儲庫等等。執行時間交織的設備的名稱或設備的位置或功能可以根據設計者改變。根據實施例的TI塊可以由Nc個FEC塊組成並且FEC塊的長度可以假定為Nr×1。因此,根據本發明實施例的TI存儲器能具有對應於Nr×Nc矩陣的大小。此外,根據本發明實施例的時間交織的深度對應於FEC塊長度。圖30(a)示出根據本發明實施例的時間交織的寫入方向,以及圖30(b)示出根據本發明實施例的時間交織的讀取方向。特別地,根據本發明實施例的廣播信號發射器能順序地在具有Nr×Nc的大小的TI存儲器中列式地寫入輸入FEC塊(列式(column-wise)寫入),如圖30(a)所示。第一FEC塊0被列式寫入TI存儲器的第一列,並且第二FEC塊1被寫入下一列等等。根據本發明實施例的廣播信號發射器能讀取在對角方向中,列式寫入的FEC塊,如圖30(b)所示。在這種情況下,根據本發明實施例的廣播信號發射器能在一個周期內執行對角讀取。即,在從第一行(從最左列開始,沿該行向右)到最後一行的對角式讀取期間,讀出信元,如圖30(b)所示。具體地,由於第一周期的對角讀取過程以存儲器陣列的(0,0)開始並且執行直到讀取最低行的信元為止,能均勻地交織不同FEC塊內的信元。按圖30(b)的①、②和③的順序,執行下一周期的對角讀取。圖31示出性根據本發明的另一實施例的時間交織過程。圖31示出對角型TI的上述寫入操作和讀取操作的另一實施例。根據本發明實施例的一個TI塊包括4個FEC塊,每個FEC塊可以由8個信元組成。因此,TI存儲器具有對應於8×4(或32×1)矩陣的大小並且TI存儲器的列長度和行長度分別對應於FEC塊長度(或時間交織深度)和FEC的數量。圖31的左邊部分中所示的TI輸入FEC塊是順序地輸入到時間交織器的FEC塊。圖31的中間部分中所示的TIFEC塊示出TI存儲器中存儲的第i個FEC塊的第n個信元值並且TI存儲器索引表示TI存儲器中存儲的FEC塊的信元的順序。圖31(a)示出TI寫入操作。如上所述,能將順序輸入的FEC塊順序地列式寫入TI存儲器。因此,能利用TI存儲器索引,順序地存儲和寫入FEC塊的信元。圖31(b)示出TI讀取操作。如圖31(b)所示,按存儲器索引0,9,18,27…的順序,對角地讀取和輸出在TI存儲器中存儲的信元值。此外,可以根據設計者,改變開始對角方向讀取的信元的位置或對角方向讀取模式。根據本發明的實施例,圖31的右邊部分中所示的TI輸出FEC塊順序地表示通過對角型TI輸出的信元值。TI輸出存儲器索引對應於通過對角型TI輸出的信元值。因此,根據本發明實施例的時間交織器能通過順序地生成用於順序地輸入FEC塊的TI輸出存儲器索引,執行對角型TI。圖32示出根據本發明實施例的生成TI輸出存儲器索引的過程。如上所述,根據本發明實施例的時間交織器能通過順序地生成用於順序地輸入FEC塊的TI輸出存儲器索引值,執行對角型TI。圖32(a)示出生成上述順序輸入FEC塊的對角型TI存儲器索引的過程,以及圖32(b)示出表示存儲器索引生成過程的等式。包括在根據本發明實施例的廣播信號接收器中的時間解交織器(或時間解交織塊)能執行上述對角型TI的逆處理。即,根據本發明實施例的時間解交織器能通過接收已經執行對角型TI的FEC塊、將FEC塊對角式地寫入TI存儲器,然後順序地讀取FEC塊,執行時間解交織。根據本發明實施例的時間解交織可以稱為對角型TDI或對角型時間解交織。執行時間解交織的設備的名稱或設備的位置或功能可以根據設計者而改變。圖33示出根據本發明實施例的時間解交織過程。圖33中所示的時間解交織過程對應於圖30所示的時間交織過程的逆處理。圖33(a)示出根據本發明實施例的時間解交織的寫入方向,以及圖19(b)示出根據本發明實施例的時間解交織的讀取方向。具體地,根據本發明實施例的時間解交織器能接收從發射器已經執行對角型TI的FEC塊並且將FEC塊對角地寫入到TDI(時間解交織器)存儲器(對角式寫入)。在這種情況下,根據本發明實施例的時間解交織器能在一個周期內執行對角寫入。具體地,第一周期的對角讀取在存儲器矩陣的(0,0)開始並且被執行直到讀取最低行的信元為止。能按圖33(b)的①,②和③的順序,執行各個周期的對角寫入。如圖33(b)所示,根據本發明實施例的時間解交織器能順序地列式讀取對角寫入的FEC塊(列式讀取)。圖34示出根據本發明的另一實施例的時間解交織過程。圖34所示的時間解交織過程是圖31所示的時間交織過程的逆過程。根據本發明實施例的一個TI塊包括可以由8個信元組成的4個FEC塊。因此,TI存儲器具有對應於8×4(或32×1)矩陣的大小以及TI存儲器的列長度和行長度分別對應於FEC塊長度(或時間交織深度)和FEC的數量。圖34的左邊部分中所示的TDI輸入FEC塊表示順序地輸入到時間解交織器的FEC塊的信元和TDI輸入存儲器索引對應於順序地輸入FEC塊的信元。圖34的中間部分中所示的TDIFEC塊示出在TDI存儲器中存儲的第i個FEC塊的第n個信元的值,並且TDI存儲器索引表示在TDI存儲器中存儲的FEC塊的信元的順序。圖34(a)示出TDI寫入操作。如上所述,能將順序輸入的FEC塊順序地對角式寫入到TDI存儲器。因此,利用TDI存儲器索引,順序地存儲和寫入所輸入的FEC塊的信元。圖34(b)示出TDI讀取操作。如圖34(b)所示,能按存儲器索引0,1,2,3…的順序,列式讀取和輸出在TDI存儲器中存儲的信元值。根據本發明的實施例,圖34的右邊部分中所示的TDI輸出FEC塊順序地表示通過時間解交織輸出的信元值。根據本發明的實施例,TDI輸出存儲器索引對應於通過時間解交織輸出的信元值。因此,根據本發明實施例的時間解交織器能通過順序地生成用於順序地輸入FEC塊的TDI輸出存儲器索引值,執行對角型TDI。圖35示出根據本發明實施例的生成TDI輸出存儲器索引的過程。如上所述,根據本發明實施例的時間解交織器能通過順序地生成用於順序地輸入FEC塊的TDI輸出存儲器索引,執行對角型TDI。圖35(a)示出生成用於上述順序輸入的FEC塊的對角型TDI存儲器索引的過程,以及圖32(b)示出代表存儲器索引生成過程的等式。根據本發明實施例的廣播信號發射器可以是封裝和配置為多個TI塊並且發射多個FEC塊的可變數據速率系統。在這種情況下,TI塊可以具有包括在其中的不同數目的FEC塊。圖36是示出根據本發明實施例的可變數據速率系統的原理圖。圖36示出映射到一個信號幀的TI塊。如上所述,作為根據本發明實施例的廣播信號發射器的可變數據速率系統能將多個FEC塊封裝為多個TI塊並且發射TI塊。在這種情況下,TI塊可以具有包括在其中的不同數量的FEC塊。即,一個信號幀可以包括分別可以包括NFEC_NUMFEC塊的NTI_NUMTI塊。在這種情況下,各個TI塊可以具有包括在其中的不同數量的FEC塊。將描述能在上述可變數據速率系統中執行的時間交織。該時間交織過程是上述時間交織過程的另一實施例並且具有該時間交織過程能應用於廣播信號接收器具有單一存儲器的情形的優點。根據本發明的另一實施例的時間交織可以稱為上述對角型TI並且可以在包括在根據本發明實施例的廣播信號發射器中的時間交織器中執行。作為時間交織的逆過程,時間解交織可以稱為對角型TDI並且可以在根據本發明實施例的廣播信號接收器中的時間解交織器中執行。執行時間交織或時間解交織的設備的名稱或設備的位置或功能可以根據設計者改變。將描述詳細時間交織和時間解交織過程。當TI塊具有包括在其中的不同數量的FEC塊時,如上所述,不同對角型TI方法需要應用於各個TI塊。然而,該方案具有當廣播信號接收器使用單一存儲器時,不能執行對應於不同對角型TI方法的解交織的問題。因此,根據本發明的實施例,根據本發明的廣播信號發射器確定單一對角型TI方法並且將所確定的對角型TI方法同樣地應用於所有TI塊。此外,根據本發明實施例的廣播信號發射器能使用單一存儲器,順序地解交織多個TI塊。在這種情況下,基於將最大數量的FEC塊包括在一個信號幀內的TI塊,根據本發明實施例的廣播信號發射器能確定應用於所有TI塊的對角型TI方法。此外,根據本發明實施例的廣播信號發射器能在將中等數量的FEC塊包括在一個信號幀內的TI塊或在一個信號幀內的任意TI塊的基礎上,確定應用於所有TI塊的對角型TI方法。能根據設計者確定。其中,與包括最大數量的FEC塊的TI塊相比,如何將對角型TI方法應用於包括更少數量的FEC塊的TI塊可能變為問題。因此,根據本發明的實施例,廣播信號發射器可以監控所生成的存儲器索引並且確定是否應用存儲器索引。具體地,根據本發明的實施例,當所生成的TI存儲器索引的數量超出任意TI塊中的信元的數量時,廣播信號發射器忽略大於信元的數量的TI存儲器索引。當所生成的TI存儲器索引的數量超出信元的數量時,能添加虛擬FEC塊(零填充)並且能執行對角型TI。此外,在將上述對角型TI方法應用於不同TI塊中,根據本發明的實施例,廣播信號發射器可以按FEC塊的數量的順序,將對角型TI方法從包括少量FEC塊的TI塊,順序地應用於TI塊。因此,根據本發明實施例的廣播信號接收器能簡單地操作單一存儲器,如稍後所述。下述等式表示確定應用於所有TI塊的對角型TI方法的上述過程。等式12[等式12]for0≤j≤TI_NUM-1TI_NUM-1:單個幀中的TI塊的總數NFEC_Size,j:第j個TI塊中的FEC塊大小NFEC_NUM,j:第j個TI塊中的FEC塊的總數圖37示出根據本發明的另一實施例的時間交織過程。圖37示出在可變數據速率系統中應用對角型TI的實施例。圖37(a)示出將對角型TI應用於包括4個FEC塊的TI塊0的過程,以及圖37(b)示出將對角型TI應用於包括5個FEC塊的TI塊1的過程。TIFEC塊表示包括在每個TI塊中的FEC塊以及對應於FEC塊的信元值。TI存儲器索引表示對應於包括在TI塊中的信元值的存儲器索引。TI塊包括在一個信號幀中並且每個FEC塊可以包括8個信元。根據本發明實施例的廣播信號發射器能確定同樣應用於兩個TI塊的對角型TI方法。由於在將最大數量的FEC塊包括在一個幀內的TI塊的基礎上,確定根據本發明實施例的對角型TI方法,所以如上所述,在圖37的情況下,基於TI塊1,確定對角型TI。因此,TI存儲器能具有對應於8×5(40×1)矩陣的大小。如圖37(a)的上半部分所示,包括在TI塊0中的FEC塊的數量為4,其小於包括在TI塊1中的FEC塊的數量。因此,根據本發明實施例的廣播信號發射器能將具有值0的虛擬FEC塊23000添加(填充)到TI塊0並且將對應於虛擬FEC塊23000的信元列式寫入到TI存儲器中。能根據設計者,確定虛擬FEC塊被添加到的位置。如圖37(a)的下半部分所示,根據本發明實施例的廣播信號發射器能對角地讀取寫入在TI存儲器中的信元。在這種情況下,由於最後一列對應於虛擬FEC塊,所以可以執行讀取操作同時忽略對應於虛擬FEC塊的信元。根據本發明的上述方法,根據本發明實施例的廣播信號發射器能執行用於TI塊1的列式寫入和對角讀取,如圖37(b)所示。如上所述,由於根據本發明實施例的對角型TI優選應用於包括少量FEC塊的TI塊,所以在圖37的情況下,能將對角型TI應用於TI塊1。圖38示出根據本發明的另一實施例,生成TI輸出存儲器索引的過程。圖38示出生成用於上述兩個TI塊(TI塊0和TI塊1)的TI輸出存儲器索引和對應於TI輸出存儲器索引的TI輸出FEC塊的過程。對應於TI輸出存儲器索引的塊表示生成TI輸出存儲器索引的過程以及TI輸出FEC塊表示對應於所生成的TI輸出存儲器索引的FEC塊的信元值。圖38(a)示出生成TI塊0的TI輸出存儲器索引的過程。如圖38(a)所示,當TI存儲器索引的數量超出TI塊0的信元的數量時,根據本發明實施例的廣播信號發射器能忽略對應於包括在虛擬FEC塊中的信元的TI存儲器索引32至39。該操作可以稱為跳過操作。因此,除跳過的TI存儲器索引外,生成能執行讀取的最終輸出存儲器索引,如圖38(a)所示。在圖38(a)的下半部分,示出對應於最終輸出存儲器索引的輸出FEC塊的信元值。圖38(b)示出生成TI塊1的TI輸出存儲器索引的過程。在TI塊1的情況下,不應用跳過操作。該過程對應於上述過程。下述等式表示用於執行可用在上述可變數據速率系統中的對角型TI的輸出存儲器索引生成過程。等式13[等式13]Ccnt,j:用於第j個TI塊的實際TI輸出存儲器索引的計數器θj(k):用於第j個TI塊的臨時TI輸出存儲器索引πj(k):用於第j個TI塊的實際TI輸出存儲器索引在等式13中,「if」語句表示上述跳過操作。圖39是示出根據本發明實施例的TI存儲器索引生成過程的流程圖。如上所述,根據本發明實施例的時間交織器能通過順序地生成用於順序地輸入FEC塊的TI輸出存儲器索引,執行對角型TI。參考圖39,根據本發明實施例的廣播信號發射器能設定初始值(S25000)。即,根據本發明實施例的廣播信號發射器能在包括最大數量的FEC塊的TI塊的基礎上,確定應用於所有TI塊的對角型TI方法。然後,根據本發明實施例的廣播信號發射器可以生成臨時TI存儲器索引(S25100)。即,根據本發明實施例的廣播信號發射器能將虛擬FEC塊添加(填充)到具有小於預定TI存儲器索引的FEC塊的數量的TI塊並且將對應於TI塊的信元寫入到TI存儲器。根據本發明實施例的廣播信號發射器可以評價所生成的TI存儲器索引的可用性(S25200)。即,根據本發明實施例的廣播信號發射器能對角地讀取寫入TI存儲器中的信元。在這種情況下,能跳過對應於虛擬FEC塊的信元並且能執行讀取。然後,根據本發明實施例的廣播信號發射器可以生成最終TI存儲器索引(S25300)。圖39的流程圖對應於參考圖36、37和38所述的生成TI輸出存儲器索引的過程,並且可以根據設計者修改。圖40示出根據本發明的另一實施例的時間解交織過程。圖40所示的時間解交織過程是參考圖23、24和25所述的時間交織過程的逆過程。具體地,根據本發明的另一實施例的時間解交織能應用於其中廣播信號接收器使用單存儲器的情形。為實現這種單存儲器方法,用於交織的TI塊的讀取和寫入操作應當同時完成。TDI過程能表示為封閉型,導致有效TDI實現。根據本發明的另一實施例的時間解交織器可以通過四個步驟執行。圖40(a)示出時間解交織的第一步驟(步驟1)。在用於TI塊0的TDI處理之前,使用TI規則,對應於在TI處理期間忽略的存儲器索引的信元值被設定為0(或識別值)。即,圖40(a)的上半部分中所示的塊表示對應於TI塊0的最終輸出存儲器索引的輸出FEC塊的信元值,而圖40(a)的下半部分中所示的塊表示通過將對應於在跳過操作中跳過的存儲器索引的信元值設定為0生成的FEC塊的信元值。在第二步驟(步驟2)中,在步驟1後,將步驟1的輸出寫入到大小8×5的單存儲器。寫入方向與TI處理中的讀取方向相同。根據本發明實施例的廣播信號接收器能將對角寫入操作執行為用於第一輸入TI塊的發射器的TI的第一逆過程。即,在與由發射器執行的對角讀取的方向相反的方向中,能夠執行對角寫入。圖40(b)示出時間解交織的第三步驟(步驟3)。對應於TDIFEC塊的塊表示輸入FEC塊的信元值。對應於TDI存儲器索引的塊表示對應於FEC塊的信元值的TDI存儲器索引。在步驟2後,在與TI處理中的寫入操作相同的方向中,執行列式讀取操作。此時,如果讀取值為0(或識別值),則忽略它(跳過操作)。該跳過操作對應於在廣播信號發射器中執行的上述跳過操作。下述等式表示上述TDI存儲器索引生成過程。等式14[等式14]Ccnt,j:用於第j個TI塊的實際TDI輸出存儲器索引的計數器用於第j個TI塊的臨時TDI輸出存儲器索引在的預留信元值用於第j個TI塊的實際TDI輸出存儲器索引上述等式中的「if」語句表示上述跳過操作,即,當在TDI輸出存儲器中存儲的索引相應信元值為0(或表示強制插入索引的任意值)時忽略索引的過程。圖41示出根據本發明的另一實施例的時間解交織過程。如上所述,根據本發明實施例的廣播信號接收器能使用單存儲器執行時間解交織。因此,根據本發明實施例的廣播信號接收器能在第四步驟(步驟4)處同時讀取TI塊0和寫入TI塊1。圖41(a)示出與讀取TI塊0和TDI存儲器索引同時寫入的TI塊1的TDIFEC塊。在廣播信號接收器中執行的對角讀取的方向相反的方向中,可以執行寫入操作,如上所述。圖41(b)示出根據TI塊1的寫入的輸出TDI存儲器索引。在這種情況下,將所存儲的FEC塊排列在TI塊內可以不同於在廣播信號發射器的TI存儲器中存儲的FEC塊的排列。即,在單存儲器的情況下,可以不同樣地應用在廣播信號發射器中執行的寫入和讀取操作的逆過程。圖42示出根據本發明實施例的寫入方法。為防止在單存儲器的情況下,不能同樣地應用在廣播信號發射器中執行的寫入和讀取操作的逆過程的情形,如上所述,本發明提供將FEC塊以矩陣形式寫入TI存儲器的方法。根據本發明的實施例,圖42所示的寫入方法能同樣地應用於上述時間交織和時間解交織過程。圖42(a)示出其中將FEC塊的信元以矢量形式寫入存儲器的情形,其對應於上述寫入方法。圖42(b)示出其中將FEC塊的信元以矩陣形式寫入存儲器的情形。即,能以m×n矩陣的形式,寫入FEC塊。在這種情況下,能根據設計者,改變矩陣大小,並且在廣播信號發射器中執行的寫入和讀取過程的逆過程能同樣地應用於其中廣播信號接收器使用單存儲器的情形。圖43是示出根據本發明實施例的生成TDI存儲器索引的過程的流程圖。如上所述,根據本發明實施例的時間解交織器能通過順序地生成用於順序地輸入FEC塊的TI輸出存儲器索引,執行對角型TI。如圖43所示,根據本發明實施例的廣播信號接收器可以設定初始值(S29000)。即,在根據本發明實施例的廣播信號接收器中,在用於第一TI塊的TDI處理前,使用TI規則,將對應於在TI處理期間忽略的存儲器索引的信元值設定為0(或識別值)。接著,根據本發明實施例的廣播信號接收器可以生成臨時TI存儲器索引(S29010)。根據本發明實施例的廣播信號接收器可以將對角寫入操作執行為用於第一輸入TI塊的發射器的TI的第一逆過程。然後,根據本發明實施例的廣播信號發射器可以評價所生成的TI存儲器索引(S29020)。根據本發明實施例的廣播信號發射器可以生成最終TI存儲器索引(S29030)。圖44是圖示根據本發明實施例的可變數據速率系統的概念圖。一個傳輸超幀可以包括NIF_NUM個交織幀(IF),每個IF可以包括NFEC_NUM個FEC塊。在這種情況下,包括在每個IF中的FEC塊的數目可以被變化。根據本發明實施例的IF可以被定義為用於時間交織塊,並可以被稱為前述的TI塊。詳情與在圖36中描述的一樣。如上所述,當生成的TI存儲器索引的數目超出任意IF中的信元的數目時,能夠添加廣播信號發射器虛擬FEC塊(零填充)並且能夠執行對角型TI。由於添加的虛擬FEC塊包括具有零值的信元,所以根據本發明的實施例的廣播信號發射器可以跳過或忽略添加的虛擬FEC塊。此操作可以被稱為跳過操作。稍後會詳細地描述跳過操作。下述等式表示確定應用於所有IF的對角型TI方法的上述過程。具體地,下述等式表示在對角型TI方法的確定中確定與在一個超幀中包括最大數目的FEC塊的IF有關的列和行的大小的過程。[等式15]對於0≤j≤NIF_NUM-1NIF_NUM:單個超幀中的IF總數NFEC_NUM,j:第j個IF中的FEC塊的總數NFEC_Size,j:第j個IF中的FEC塊大小此外,在參考圖37描述的可變數據速率系統中應用對角型TI的實施例能夠被同等地應用於包括多個FEC塊的IF。IF被包括在一個超幀中。因此,與對角型TI相對應的時間解交織能夠被應用於其中廣播信號接收器使用單存儲器的情況。另外,參考圖38描述的生成TI輸出存儲器索引的過程能夠被同等地應用於包括多個FEC塊的IF。下述等式表示用於執行在前述的可變數據速率系統中可應用的對角型TI的輸出存儲器索引生成過程。[等式16]ST:用於交織的對角斜率(恆定值)Ccnt,j:用於第j個TI塊的實際TI輸出存儲器索引的計數器θj(k):用於第j個TI塊的臨時TI輸出存儲器索引πj(k):用於第j個TI塊的實際TI輸出存儲器索引在等式16中,「if」語句表示上述跳過操作。此外,以上等式16表示對角斜率的上述對角型TI的輸出存儲器索引生成過程。因此,對角斜率值被定義為一個變量。根據本發明的實施例的對角斜率能夠被用作上述的移位值。並且在上述等式中的ST能夠是在交織中使用的移位值。另外,圖39的流程圖能夠被同等地應用於包括多個FEC塊的IF。此外,參考圖40和圖41描述的根據本發明的另一實施例的時間解交織過程能夠被同等地應用於包括多個FEC塊的IF。下面的等式表示被應用於包括多個FEC塊的TDI存儲器索引生成過程。[等式17]Ccnt,j:用於第j個IF的實際TDI輸出存儲器索引的計數器在處的保留信元值用於第j個IF的臨時輸出存儲器索引用於第j個IF的實際TDI輸出存儲器索引上述數學式中的「if」語句表示上述跳過操作,即,當在TDI輸出存儲器中存儲的索引相應信元值為0(或指示強制插入索引的任意值)時忽略索引的過程。此外,上述等式19表示與根據對角斜率的上述對角型TI相對應的用於時間交織的TDI存儲器索引的生成過程。參考圖42描述的根據本發明的實施例的寫入方法能夠被同等地應用於包括多個FEC塊的IF。圖45是圖示根據本發明實施例的生成TDI存儲器索引的過程的流程圖。如上所述,根據本發明實施例的時間解交織器能夠通過順序地生成用於順序地輸入FEC塊的TI輸出存儲器索引來執行對角型TI。如圖45中所示,根據本發明實施例的廣播信號接收器可以設定初始值(S30000)。即,在根據本發明實施例的廣播信號接收器中,在用於第一IF的TDI處理前,使用TI規則,將與在TI處理期間忽略的存儲器索引相對應的信元值設定為0(或識別值)。然後,根據本發明實施例的廣播信號接收器可以計算用於TDI處理的對角斜率(S30100)。接著,根據本發明實施例的廣播信號接收器可以生成臨時TI存儲器索引(S30200)。根據本發明實施例的廣播信號接收器可以將對角寫入操作執行為用於第一輸入IF的發射器的TI的第一逆過程。然後,根據本發明實施例的廣播信號發射器可以評估生成的TI存儲器索引(S30300)。根據本發明實施例的廣播信號發射器可以生成最終TI存儲器索引(S30400)。圖45中所示的流程圖對應於參考圖27、28和29所描述的生成TDI輸出存儲器索引的過程,並且可以根據設計者來改變。下面的等式表示在突發信道中計算最佳移位值以提供最大性能的處理。[等式18]對於Nc:列大小當被包括單個幀中的IF的數目是2時,在兩個If中的FEC塊的大小等於8並且在第一IF中的FEC塊的數目是4並且在第二IF中的FEC塊的數目是5,那麼用於TI的行的最大值可以是8並且用於TI的列的最大數目可以是5。在這樣的情況下,使用等式18,最大移位值能夠是3。圖46圖示根據本發明的實施例的可變比特速率系統的概念。根據本發明的實施例的可變比特速率系統是前述的可變數據速率系統的另一實施例。具體地,在圖46中示出的傳送超幀是由NTI_NUM個TI組成並且各個TI組能夠包括NBLOCK_TI個FEC塊。在這樣的情況下,TI組可以分別包括不同數目的FEC塊。根據本發明的實施例的TI組能夠被定義為用於執行時間交織的塊並且能夠以與前述的TI塊或者IF相同的意義被使用。即,一個IF能夠包括至少一個TI塊並且在TI塊中的FEC塊的數目是可變的。參考圖36和圖44描述詳情。當TI組包括不同數目的FEC塊時,本發明在實施例中使用扭曲行-列塊交織規則對TI組執行交織。因此,接收器能夠使用單個存儲器執行解交織。考慮到其中每個TI組能夠改變FEC塊的數目的可變比特-速率(VBR)傳輸,將會給出輸入FEC塊存儲器排列方法和時間交織器的讀取操作的描述。圖47圖示根據本發明的實施例的塊交織的寫入和讀取操作。圖47對應於在圖26中示出的操作的另一實施例並且因此其詳細描述被省略。圖48示出根據本發明的實施例的表示塊交織的等式。在附圖中示出的等式表示每個TI組應用的塊交織。如通過等式所表達的,在被包括在TI組中的FEC塊的數目是奇數的情況和在被包括在TI組中的FEC塊的數目是偶數的情況下,能夠分別計算移位值。即,根據本發明的實施例的塊交織能夠在使FEC塊的數目是奇數之後計算移位值。根據本發明的實施例的時間交織器能夠基於在對應的超幀中具有最大數目的FEC塊的TI組來確定與交織有關的參數。因此,接收器能夠使用單個存儲器執行解交織。在此,對於具有比FEC塊的最大數目小的數目的FEC塊的TI組,與FEC塊的數目和FEC塊的最大數目之間的差相對應的虛擬FEC塊能夠被添加。根據本發明的實施例的虛擬FEC塊能夠被插入在實際FEC塊之前。隨後,根據本發明的實施例的時間交織器能夠考慮到虛擬FEC塊使用一個扭曲行-列塊交織規則對TI組執行交織。另外,當在讀取操作期間生成與虛擬FEC塊相對應的存儲器-索引時,根據本發明的實施例的時間交織器能夠執行前述的跳過操作。在下面的寫入操作中,輸入TI組的FEC塊的數目可以匹配於輸出TI組的FEC塊的數目。因此,根據本發明的實施例的時間交織器,通過跳過操作能夠防止實際發送的數據的數據速率的損失,即使插入虛擬FEC塊以便於在接收器中執行有效的單存儲器解交織。圖49圖示根據本發明的實施例的虛擬FEC塊。附圖的左側示出指示TI組中的FEC塊的最大數目、被包括在TI組中的FEC塊的實際數目、和FEC塊的最大數目和FEC塊的實際數目之間的差,以及用於導出虛擬FEC塊的數目的等式。附圖的右側示出將虛擬FEC塊插入到TI組的實施例。在這樣的情況下,虛擬FEC塊能夠被插入在實際FEC塊之前,如上所述。圖50示出根據本發明的實施例的表示在虛擬FEC塊的插入之後的讀取操作的等式。在附圖中圖示的跳過操作能夠在讀取操作中跳過虛擬FEC塊。圖51是圖示根據本發明的實施例的時間交織過程的流程圖。根據本發明的實施例的時間交織器能夠設定初始值(S67000)。然後,根據本發明的實施例的時間交織器能夠考慮到虛擬FEC塊對實際FEC塊執行寫入操作(S67100)。根據本發明的實施例的時間交織器能夠生成臨時TI地址(S67200)。隨後,根據本發明的實施例的時間交織器能夠估計被生成的TI讀取地址的可用性(S67300)。然後,根據本發明的實施例的時間交織器能夠生成最終的TI讀取地址(S67400)。根據本發明的實施例的時間交織器能夠讀取實際FEC塊(S67500)。圖52示出根據本發明的實施例的確定移位值和最大TI塊大小的過程的等式。附圖示出IT組的數目是2、TI組的信元的數目是30、被包括在第一TI組的FEC塊的數目是5並且被包括在第二TI塊中的FEC塊的數目是6的實施例。雖然FEC塊的最大數目是6,但是6是偶數。因此,為了獲得移位值而調節的FEC塊的最大數目能夠是7並且移位值能夠被計算為4。圖53、圖54以及圖55圖示在圖52中示出的實施例的TI過程。圖53圖示根據本發明的實施例的寫入操作。圖53示出用於參考圖52描述的兩個TI組的寫入操作。在附圖的左側中示出的塊表示TI存儲器地址陣列,並且在附圖的右側中示出的塊圖示當兩個虛擬FEC塊和一個虛擬FEC塊分別被插入到兩個連續的TI組時的寫入操作。因為被調節的FEC塊的最大數目是7,所以如上所述,兩個虛擬FEC塊被插入到第一TI組並且一個虛擬FEC塊被插入到第二TI組中。圖54圖示根據本發明的實施例的讀取操作。在附圖的左側中示出的塊表示TI存儲器地址陣列,並且在附圖的右側中示出的塊圖示當兩個虛擬FEC塊和一個虛擬FEC塊分別被插入到兩個連續的TI組時的讀取操作。在這樣的情況下,能夠以與對實際FEC塊執行的讀取操作相同的方式對虛擬FEC塊執行讀取操作。圖55圖示根據本發明的實施例的讀取操作的跳過操作的結果。如在附圖中所示,在兩個TI組中能夠跳過虛擬FEC塊。圖56和圖57圖示與參考圖52至圖55描述的TI的相反相對應的時間解交織。具體地,圖56圖示用於第一TI組的時間解交織並且圖57圖示用於第二TI組的時間解交織。圖56示出根據本發明的實施例的時間解交織的寫入過程。在這樣的情況下,能夠同等地應用參考圖52描述的參數。附圖中的左塊示出TI存儲器地址陣列,中間塊示出被輸入到解交織器的第一TI組,並且右塊示出考慮到相對於第一TI組跳過虛擬FEC塊執行的寫入過程。如在附圖中所示,為了在寫入過程中的正確的讀取操作,在TI期間跳過的兩個虛擬FEC塊能夠被恢復。在這樣的情況下,通過任意的算法能夠估計跳過的兩個虛擬FEC塊的位置和數量。圖57圖示根據本發明的另一實施例的時間解交織的寫入過程。在附圖中的左塊示出TI存儲器地址陣列,中間塊示出被輸入到時間解交織器的第二TI組,並且右塊示出考慮到相對於第二TI組跳過的虛擬FEC塊執行的寫入過程。如在附圖中所示,為了在寫入過程中的正確的讀取操作,在TI期間跳過的兩個虛擬FEC塊能夠被恢復。在這樣的情況下,通過任意的算法能夠估計跳過的一個虛擬FEC塊的位置和數量。圖58示出根據本發明的另一實施例的表示時間解交織器的讀取操作的等式。通過在發射器中使用的移位值能夠確定在接收器中使用的TDI移位值,並且跳過操作能夠在讀取操作中跳過虛擬FEC塊,類似於在發射器中執行的跳過操作。圖59是圖示根據本發明的實施例的時間解交織過程的流程圖。根據本發明的實施例的時間解交織器能夠設定初始值(S75000)。然後,根據本發明的實施例的時間解交織器能夠考慮到虛擬FEC塊來對實際的FEC塊執行寫入操作(S75100)。隨後,根據本發明的實施例的時間解交織器能夠生成臨時TDI讀取地址(S75200)。根據本發明的實施例的時間解交織器能夠估計被生成的TDI讀取地址的可用性(S75300)。然後,根據本發明的實施例的時間解交織器能夠生成最終的TDI讀取地址(S75400)。隨後,根據本發明的實施例的時間解交織器能夠讀取實際的FEC塊(S75500)。圖60是根據本發明的另一實施例的時間交織器的框圖。具體地,根據本發明的實施例的時間交織器可以包括扭曲塊交織器和卷積交織器。根據本發明的實施例的時間交織器可以執行塊交織(或者扭曲塊交織)操作,並且然後執行卷積交織操作。另外,根據本發明的實施例的時間交織器不僅可應用於在交織幀(IF)中具有恆定數目的FEC塊的恆定比特率(CBR)系統,而且可應用於在IF中具有可變數目的FEC塊的可變比特率(VBR)系統。可以在與上述可變數據率(VDR)系統相同的意義中使用根據本發明的實施例的VBR系統。具體地,根據本發明的實施例的時間交織器或者扭曲塊交織器可以基於IF操作。在這樣的情況下,各個IF可以被劃分成交織單元(IU)並且被輸入到卷積交織器。如上所述,可以以與TI塊相同的概念使用IF。即,一個IF可以包括一個或者多個TI塊,並且被包括在TI塊中的FEC塊的數目是可變的。現在給出作為時間交織器的操作的實施例的具有30的FEC塊大小和3的IU大小的CBR系統的描述。圖61至圖63是圖示根據本發明的實施例的扭曲塊交織操作和卷積交織操作的視圖。具體地,圖61是圖示扭曲塊交織操作的視圖。如上所述,基於IF可以執行根據本發明的實施例的交織操作。圖的左部分圖示被應用於各個IF的對角式讀取過程。圖的右部分圖示被應用於根據本發明的實施例的扭曲塊交織器的輸出IF的對角式寫入過程。被應用於各個IF的扭曲塊交織操作與在上面描述的相同,並且因此其詳細描述在此被省略。圖62和圖63是圖示卷積交織操作的視圖。具體地,圖62圖示卷積交織操作,並且圖63圖示基於卷積交織器的讀取操作的輸出幀。可以基於IF執行在這些圖中圖示的卷積交織操作,並且可以基於幀執行卷積交織器的讀取操作。這些操作的詳細描述與上面給出的相同。圖64是根據本發明的實施例的時間解交織器的框圖。具體地,根據本發明的實施例的時間解交織器可以執行與關於圖60至圖63在上面描述的通過根據本發明的實施例的時間交織器執行的過程相對應的過程。根據本發明的實施例的時間解交織器可以包括卷積解交織器和扭曲塊解交織器。因此,根據本發明的實施例的時間解交織器可以對輸入數據執行卷積解交織,並且然後執行扭曲塊解交織。圖65是圖示時間交織器和時間解交織器的存儲器配置的視圖。附圖的左部分圖示發射器的時間交織器的存儲器配置,並且附圖的右部分圖示接收器的時間解交織器的存儲器配置。可以設計與發射器的交織器的存儲器配置相反的接收器的時間解交織器的存儲器配置。具體地,可以考慮到在圖62中圖示的發射器的卷積交織操作設計接收器的時間解交織器的存儲器配置。圖66是圖示根據本發明的實施例的時間解交織操作的視圖。具體地,圖66圖示與關於圖60至圖63在上面描述的時間交織操作相反的時間解交織操作。因此,根據本發明的實施例的時間解交織器可以對包括通過發射器的時間交織在信號幀上擴展的多個TI塊(或者IF塊)的多個信號幀執行卷積解交織,並且然後執行扭曲塊解交織以輸出完整的IF。圖67是圖示根據本發明的實施例的時間交織器的結構的視圖。根據本發明的實施例的時間交織器可以被稱為混合時間交織器,並且可以包括上述扭曲塊交織器和卷積交織器。如在圖67中所圖示,根據本發明的實施例的時間交織器可以執行幀內交織和幀間交織。具體地,上述扭曲塊交織器可以執行幀內交織,並且上述卷積交織器可以執行幀間交織。根據本發明的實施例的幀內交織指的是僅在一個信號幀或者一個TI塊(IF、TI組)內執行的交織,並且根據本發明的實施例的幀間交織指的是在信號幀之間的交織或者在TI塊之間的交織。雖然能夠僅通過扭曲塊交織器執行幀內交織,但是可以通過扭曲塊交織器和卷積交織器兩者執行幀間交織。取決於設計者的意圖這是可變化的。扭曲塊交織器和卷積交織器的操作與上面描述的那些相同,並且因此在此省略其詳細描述。圖68是圖示在卷積交織之後執行的讀取操作的視圖。具體地,圖68圖示卷積交織器的讀取操作和讀取操作的輸出。現在給出具有30的FEC塊大小和3的IU大小的CBR系統的詳細讀取操作的描述。可以基於IF執行根據本發明的實施例的卷積交織器的讀取操作。即,如在圖中所圖示的,根據本發明的實施例的卷積交織器可以順序地讀取在多個信號幀上擴展的IF當中的以行式方式被包括在相同幀中的IF。圖69是圖示根據本發明的實施例的時間解交織器的結構的視圖。根據本發明的實施例的時間解交織器可以相反地執行與在上面描述的通過混合時間交織器執行的過程相對應的過程。因此,根據本發明的實施例的時間解交織器可以被稱為混合時間解交織器,並且可以包括上述卷積解交織器和扭曲塊解交織器。如在圖69中所圖示的,根據本發明的實施例的混合時間解交織器可以執行幀間解交織和幀內解交織。具體地,上述卷積解交織器可以執行幀間解交織,並且扭曲塊解交織器可以執行幀內解交織。圖70和圖71是圖示根據本發明的實施例的時間解交織操作的視圖。圖70是圖示根據本發明的實施例的卷積解交織器操作的視圖。在圖70中圖示的卷積解交織操作可以相反地對應於關於圖62在上面描述的卷積交織操作。具體地,圖70是圖示關於圖65在上面描述的具有時間解交織器的存儲器配置的時間解交織器的詳細操作的視圖。圖70的左部分是圖示輸入到時間解交織器的IF的視圖。在信號幀之間執行根據本發明的實施例的圖70的卷積解交織操作。因此,根據本發明的實施例的卷積解交織器可以對多個輸入信號幀執行卷積解交織以輸出完整的IF。圖71是圖示根據本發明的實施例的扭曲解交織操作的視圖。在圖71中圖示的扭曲解交織操作可以相反地對應於關於圖61在上面描述的扭曲交織操作。圖71的左部分圖示卷積解交織器的輸出IF。圖71的右部分圖示扭曲塊解交織器的輸出IF。具體地,根據本發明的實施例的扭曲塊解交織器可以順序地執行對角式讀取過程和對角式寫入過程。結果,扭曲塊解交織器可以輸出等於在圖48中圖示的輸入IF的IF。圖72是圖示根據本發明的實施例的用於接收廣播信號的方法的流程圖。根據本發明的實施例的用於接收廣播信號的設備可以執行參考圖1至圖8以及圖10至圖29描述的發送廣播信號的逆過程。根據本發明的實施例的用於接收廣播信號的設備或者接收器能夠接收廣播信號(S72000)。然後根據本發明的實施例的用於接收廣播信號的設備或者在用於接收廣播信號的設備中的同步&解調模塊能夠通過OFDM(正交頻分復用)方案解調接收到的廣播信號(S72100)。詳情如在圖9中所描述的。根據本發明的實施例的用於接收廣播信號的設備或者幀解析模塊能夠從解調的廣播信號解析至少一個信號幀(S72200)。詳情如在圖9中所描述的。在這樣的情況下,信號幀包括與多個物理路徑中的每個相對應的服務數據。如在上面所描述的,物理路徑是攜帶服務數據或者有關元數據的在物理層中的邏輯信道,其可以攜帶一個或者多個服務或者服務組件並且根據設計者的意圖能夠改變標題。根據本發明的實施例的物理路徑等於在上面描述的DP。編碼的詳細過程如在圖1至圖29中描述的。隨後,根據本發明的實施例的用於接收廣播信號的設備或者解映射&解碼模塊或者時間解交織器能夠時間解交織在至少一個信號幀中的服務數據(S72300)。根據本發明的實施例的時間解交織進一步包括卷積解交織至少一個信號幀中的服務數據以及通過TI(時間交織)塊扭曲塊解交織在各個物理路徑中的卷積解交織的服務數據。如上所述,時間解交織等於在圖25至圖71中描述的時間交織的逆過程。然後,根據本發明的實施例的用於接收廣播信號的設備或者解映射&解碼模塊能夠解碼時間解交織的服務數據(S72400)。詳情如在圖9中所描述的。本發明的模式本領域技術人員將會理解,在不脫離本發明的精神或者範圍的情況下能夠在本發明中進行各種修改和變化。因此,其意在本發明覆蓋本發明的修改和變化,只要它們落在所附權利要求及其等效的範圍內。[工業實用性]在本說明書中提及設備和方法發明兩者並且設備和方法發明兩者的描述可以被相互互補地應用。根據本發明的實施例的模塊、單元或者塊是執行被存儲在存儲器(或者存儲單元)中的一系列指令的處理器/硬體。在硬體/處理器中/通過硬體/處理器能夠操作在上面實施例中的步驟或者方法。另外,本發明的方法可以被實現為在處理器可讀記錄介質上可以寫入的代碼,並且因此,通過被設置在根據本發明的實施例的設備中的處理器讀取。當前第1頁1&nbsp2&nbsp3&nbsp

同类文章

一種新型多功能組合攝影箱的製作方法

一種新型多功能組合攝影箱的製作方法【專利摘要】本實用新型公開了一種新型多功能組合攝影箱,包括敞開式箱體和前攝影蓋,在箱體頂部設有移動式光源盒,在箱體底部設有LED脫影板,LED脫影板放置在底板上;移動式光源盒包括上蓋,上蓋內設有光源,上蓋部設有磨沙透光片,磨沙透光片將光源封閉在上蓋內;所述LED脫影

壓縮模式圖樣重疊檢測方法與裝置與流程

本發明涉及通信領域,特別涉及一種壓縮模式圖樣重疊檢測方法與裝置。背景技術:在寬帶碼分多址(WCDMA,WidebandCodeDivisionMultipleAccess)系統頻分復用(FDD,FrequencyDivisionDuplex)模式下,為了進行異頻硬切換、FDD到時分復用(TDD,Ti

個性化檯曆的製作方法

專利名稱::個性化檯曆的製作方法技術領域::本實用新型涉及一種檯曆,尤其涉及一種既顯示月曆、又能插入照片的個性化檯曆,屬於生活文化藝術用品領域。背景技術::公知的立式檯曆每頁皆由月曆和畫面兩部分構成,這兩部分都是事先印刷好,固定而不能更換的。畫面或為風景,或為模特、明星。功能單一局限性較大。特別是畫

一種實現縮放的視頻解碼方法

專利名稱:一種實現縮放的視頻解碼方法技術領域:本發明涉及視頻信號處理領域,特別是一種實現縮放的視頻解碼方法。背景技術: Mpeg標準是由運動圖像專家組(Moving Picture Expert Group,MPEG)開發的用於視頻和音頻壓縮的一系列演進的標準。按照Mpeg標準,視頻圖像壓縮編碼後包

基於加熱模壓的纖維增強PBT複合材料成型工藝的製作方法

本發明涉及一種基於加熱模壓的纖維增強pbt複合材料成型工藝。背景技術:熱塑性複合材料與傳統熱固性複合材料相比其具有較好的韌性和抗衝擊性能,此外其還具有可回收利用等優點。熱塑性塑料在液態時流動能力差,使得其與纖維結合浸潤困難。環狀對苯二甲酸丁二醇酯(cbt)是一種環狀預聚物,該材料力學性能差不適合做纖

一種pe滾塑儲槽的製作方法

專利名稱:一種pe滾塑儲槽的製作方法技術領域:一種PE滾塑儲槽一、 技術領域 本實用新型涉及一種PE滾塑儲槽,主要用於化工、染料、醫藥、農藥、冶金、稀土、機械、電子、電力、環保、紡織、釀造、釀造、食品、給水、排水等行業儲存液體使用。二、 背景技術 目前,化工液體耐腐蝕貯運設備,普遍使用傳統的玻璃鋼容

釘的製作方法

專利名稱:釘的製作方法技術領域:本實用新型涉及一種釘,尤其涉及一種可提供方便拔除的鐵(鋼)釘。背景技術:考慮到廢木材回收後再加工利用作業的方便性與安全性,根據環保規定,廢木材的回收是必須將釘於廢木材上的鐵(鋼)釘拔除。如圖1、圖2所示,目前用以釘入木材的鐵(鋼)釘10主要是在一釘體11的一端形成一尖

直流氧噴裝置的製作方法

專利名稱:直流氧噴裝置的製作方法技術領域:本實用新型涉及ー種醫療器械,具體地說是ー種直流氧噴裝置。背景技術:臨床上的放療過程極易造成患者的局部皮膚損傷和炎症,被稱為「放射性皮炎」。目前對於放射性皮炎的主要治療措施是塗抹藥膏,而放射性皮炎患者多伴有局部疼痛,對於止痛,多是通過ロ服或靜脈注射進行止痛治療

新型熱網閥門操作手輪的製作方法

專利名稱:新型熱網閥門操作手輪的製作方法技術領域:新型熱網閥門操作手輪技術領域:本實用新型涉及一種新型熱網閥門操作手輪,屬於機械領域。背景技術::閥門作為流體控制裝置應用廣泛,手輪傳動的閥門使用比例佔90%以上。國家標準中提及手輪所起作用為傳動功能,不作為閥門的運輸、起吊裝置,不承受軸向力。現有閥門

用來自動讀取管狀容器所載識別碼的裝置的製作方法

專利名稱:用來自動讀取管狀容器所載識別碼的裝置的製作方法背景技術:1-本發明所屬領域本發明涉及一種用來自動讀取管狀容器所載識別碼的裝置,其中的管狀容器被放在循環於配送鏈上的文檔匣或託架裝置中。本發明特別適用於,然而並非僅僅專用於,對引入自動分析系統的血液樣本試管之類的自動識別。本發明還涉及專為實現讀